프로젝트를 준비하고 altera pls에 업로드하는 방법. 우리는 회사의 프로그래밍 가능 논리 매트릭스를 마스터합니다 - Quartus II 프로젝트의 altera 핀 할당




소개

일반적으로 누군가 작동하는 보드를 보면 항상 같은 질문을 합니다. - 이것은 Arduino인가요? - Arduino 없이 어떻게 작동하나요?

마이크로컨트롤러도 아니고 프로세서도 아니고 FPGA입니다. FPGA는 프로그래밍 가능한 논리 집적 회로입니다., 이러한 마이크로 회로는 많은 동일한 블록 또는 매크로 셀로 구성되며 각 제조업체는 이를 다르게 부르므로 Xilinx의 경우 Altera의 Slices(슬라이스) - LogicElements(논리 요소)입니다. 이러한 블록은 상대적으로 간단하며, 여러 논리 요소로 작동할 수 있고 작은 조회 테이블(LUT)이 될 수 있으며 기성 덧셈기, 곱셈기, 디지털 신호 처리(DSP) 블록 등 일반적으로 제조업체가 염두에 둔 모든 것을 포함합니다. . 사용자는 이러한 블록을 원하는 대로 배열하고 구성할 수 있으므로 매우 복잡한 디지털 회로를 구현할 수 있습니다. 예를 들어 동일한 Arduino 또는 자체 아키텍처의 프로세서(예: 레지스터 프로세서가 아닌 스택 프로세서)를 구현하는 등 FPGA에서 마이크로컨트롤러도 구현할 수 있습니다. FPGA에서 자체 FPGA를 구현할 수도 있습니다.

사용 가능한 예산 FPGA 중에서 두 개의 주요 제조업체를 확인할 수 있습니다. Altera와 Xilinx의 제품을 통해 이러한 기술을 익히는 여정을 시작할 수 있습니다. 제 생각에는 디자인 환경이 지속적으로 업데이트되므로 Altera 칩을 선택하는 것이 더 좋으며, 인기 있는 Xilinx XC3S500E 칩 중 하나를 선택하면 오래된 ISE 14.7 환경에 만족해야 합니다(장점도 있지만). .

외국 문헌에서는 FPGA의 약어인 FPGA 및 CPLD를 찾을 수 있습니다. CPLD(Complex Programmable Logic Device) - 적은 수의 매크로셀, 특수 블록 및 저전력 소비를 갖춘 칩입니다. 이러한 유형의 칩에 대해서는 대규모 프로젝트를 합성할 수 없지만 실제로는 사용됩니다. 예를 들어 다리가 300개 있는 희귀한 마이크로 컨트롤러가 있습니다. 이러한 칩은 인터페이스 시스템, 전처리기 및 I/O 확장기로 자주 사용됩니다. FPGA(Field-Programmable Gate Array)는 FPGA(Field-Programmable Gate Array)로 CPLD에 비해 훨씬 강력한 칩이지만 더 많은 전력을 소비하고 훨씬 더 많은 비용이 듭니다. 다이 면적 비용을 줄이기 위해 FPGA 칩에는 디지털 신호 처리 장치(DSP 장치), 임베디드 프로세서 및 임베디드 메모리와 같은 기성 기능이 포함될 수 있습니다. FPGA는 소위 사전 실리콘 검증에서 설계 테스트 및 검증에 널리 사용되므로 제품 출시 전 비용과 시간을 절감할 수 있습니다. FPGA 칩은 거의 언제든지 재구성될 수 있습니다. 예를 들어 Intel에서는 기존 프로세서와 FPGA 칩의 아키텍처를 결합하는 개발이 현재 진행 중입니다. Xilinx는 이미 Zynq와 같은 솔루션을 보유하고 있지만 지금은 이에 대해 이야기하지 않겠습니다.

특히 제가 개발 키트인 Cyclone IV 4 FPGA 코어 보드 및 Altera USB Blaster Downloader PLD 개발 키트($35, AliExpress에서 구입)를 손에 넣었으므로 더 간단한 것에 대해 이야기하겠습니다.

1 데모 보드 Cyclone IV 4 FPGA 코어 보드, 간략한 설명

쌀. 1 - 데모 결제 Cyclone IV 4 FPGA 코어 보드

보드(그림 1)에는 EP4CE6E22C8N 칩이 설치되어 있으며 그 특성은 다음과 같습니다.

리소스 유형 간단한 설명 수량
논리 요소(Les) 논리 블록의 수 - 칩의 "전력"을 비교할 수 있는 주요 특징인 셀은 이러한 블록을 사용합니다. 6,272
내장 메모리(Kbits) 내장 메모리는 아직 우리에게 중요한 특성이 아닙니다. 270
내장된 18x18 승수 디지털 신호 처리에서 매우 중요한 매개변수인 내장 하드웨어 곱셈기는 여러 곱셈기가 병렬로 작동할 때 FPGA의 최대 성능을 드러냅니다. 15
범용 PLL 아직 중요한 특성이 아닌 위상 고정 루프 노드는 서로 다른 주파수에서 작동하는 장치의 동기화를 단순화합니다. 2
글로벌 클록 네트워크 주파수 영역의 수는 아직 중요한 특성이 아닙니다. 10
사용자 I/O 뱅크 별도의 사용자 I/O 뱅크 수는 아직 중요한 특성이 아닙니다. 8
최대 사용자 I/O 맞춤형 I/O 핀 수로 Arduino와 같은 모든 장치를 연결할 수 있습니다. 91

보드에는 다음이 포함됩니다.

  1. 플래시 메모리 – 전원이 공급되면 FPGA가 플래시 메모리에 구현되도록 구성됩니다. 프로젝트를 합성하고 이 플래시에 쓸 수 있습니다
  2. 25MHz 석영은 기준 클록 주파수 생성기이며 모든 프로젝트가 이 주파수에서 작동하며 FPGA는 이러한 채널을 최대 10개까지 지원할 수 있습니다.
  3. LED – 10, 버튼 – 2.
  4. I/O 핀 61 + 2개 접지, 1개는 연결되지 않음. 다양한 장치를 연결할 수 있습니다. DAC-ADC, 가속도계 및 자이로스코프, Arduino와 같은 문자 및 그래픽 디스플레이.
  5. USB 또는 외부 5V를 통한 전원 공급.

이 키트에는 JTAG 프로그래머인 Altera USB Blaster도 포함되어 있습니다.

2. Quartus II 환경과 첫 번째 프로젝트

이 FPGA를 사용하려면 공식 개발 환경을 다운로드해야 합니다. Quartus II 웹 에디션, 무료입니다. 공식 웹사이트 http://dl.altera.com/15.0/?edition=web로 이동합니다. 이 글을 쓰는 시점에서는 버전 15.0이 최신 버전이고, 최신 버전이라면 다운로드하세요.

선택하다:


쌀. 2 - 필요한 Altera 제품 선택

그리고 다운로드 버튼(선택한 파일 다운로드)을 클릭하세요. 그런 다음 등록, 등록, 다운로드 및 설치하라는 메시지가 표시됩니다.

첫 번째 프로젝트를 만들어 보겠습니다.

Quartus를 실행하고 메인 메뉴 File -> New Project Wizard를 선택하면 소개 창이 나타나고 다음을 클릭합니다. 다음으로 프로젝트의 디렉터리와 해당 이름을 지정해야 합니다. 세 번째 필드는 최상위 모듈의 이름이며 나중에 그것이 무엇인지 확인할 수 있습니다. 프로젝트를 저장할 폴더를 선택하고 이름을 정하면 test1이 됩니다.


쌀. 3 - 새 프로젝트 마법사 창

다음을 클릭하면 빈 프로젝트인지 프로젝트 템플릿인지 묻는 메시지가 표시됩니다. 비워두고 다음으로 가세요. 그런 다음 기존 파일을 추가하라는 메시지가 표시됩니다. 이제 막 여행을 시작했기 때문에 아무것도 없습니다. 다음을 클릭하세요.

다음으로 칩을 선택해야 합니다. 이 작업은 언제든지 수행할 수 있습니다. 그림과 같이 Family – Cyclone IV E를 선택하고 "Available devices"에서 특정 장치를 선택하고 EP4CE6E22C8N 칩을 선택하면 맨 처음에 있습니다. 다른 것이 있다면 찾아보세요. 그건 중요해. 다음을 클릭하세요.


쌀. 4 -

창이 나타납니다. 디자인 도구 선택, 디버깅, 지금은 건너뛰고 다음을 클릭한 후 완료합니다.


쌀. 5 - 창 "Assinments-Deice"

창에서 "사용되지 않은 핀" 항목을 선택하십시오. 이 설정은 연결되지 않은 핀에 어떤 일이 발생하는지 결정합니다. 이것은 중요할 수 있습니다. 프로젝트에서 모든 핀을 사용할 가능성은 없으며 연결되지 않은 핀은 실제로 접지 또는 전원에 연결될 수 있습니다(글쎄, 누가 보드를 배선했는지 알 수 없습니다). 접지된 핀에 1을 적용하면 타버릴 수 있으므로 주의 깊게 모니터링해야 합니다.

기본적으로 사용되지 않은 핀은 "약한 풀업으로 3상 입력으로"(입력 핀은 약한 전력 풀업으로 임피던스가 높은 세 번째 상태에 있음) "입력 3상으로" 그대로 두거나 선택할 수 있습니다. ". Wikipedia https://ru.wikipedia.org/wiki/Pull-up_resistor에서 풀업 저항에 대해 읽을 수 있습니다. 디지털 기술에는 세 가지 상태가 있을 수 있습니다. 논리적 상태는 공급 전압 또는 높은 레벨이고, 논리적 0은 핀이 접지에 연결되어 있거나 낮은 레벨 및 높은 임피던스 상태입니다. 높은 임피던스 조건- 이는 핀의 저항이 매우 높고 실제로 연결된 와이어에 영향을 미치지 않는 경우입니다. 예를 들어 버스를 구성할 때, 많은 장치가 하나의 와이어에 연결되고 비활성 장치가 간섭하지 않는 경우 이 상태가 필요합니다. 작동으로.


쌀. 6 - “장치 및 핀 옵션” 창, 사용되지 않은 핀

"과제 편집기"에서 모든 것을 연결합니다.


쌀. 7 - "과제 편집자" 호출 메뉴 또는 패널에서

나타나는 창에서 내가 한 대로 수행하거나, 완전히 옳다면 보드 다이어그램에 따라 수행하십시오.


쌀. 8 - 할당 편집자

"대상" 열에 입력 또는 출력의 이름을 입력합니다. '과제 이름' 열에서 '위치'를 선택합니다. "값" 열에는 보드 다이어그램에 따라 마이크로 회로의 핀 번호가 있습니다(보드에 직접 핀 번호가 적혀 있습니다).

또한 한쪽 다리는 지면에 연결되고 다른 쪽 다리는 칩 입력에 연결되는 버튼으로 무엇을 할지 지정해야 합니다. 다리를 누르면 레벨이 낮지 만 누르지 않으면 불분명하고 칩의 다리가 공중에 매달려있어 매우 나쁩니다. 보드의 저항기를 사용하거나 "Assignments Editor(할당 편집기)"에서 보다 우아한 방식으로 칩 입력을 전원에 연결해야 합니다. "할당 이름" 열에서 키* 그룹에 대해 "약한 풀업 저항기"를 선택합니다(그룹은 별표로 표시됨).


쌀. 9 - 과제 편집자

다음으로, 칩 레그와 직접 작동하는 최상위 모듈에 대한 설명을 작성해야 합니다. 다른 모든 모듈은 칩 레그에서만 작동합니다. 메인 메뉴에서 New를 클릭하고 "Design Files-> Block Diagram/Schematic File"을 선택합니다.


쌀. 10 - 새 파일 대화 상자

열리는 창에서 “Pin Tool”을 선택하고 다이어그램에 입력 및 출력(입력 및 출력 핀)을 배치합니다. 입력 이름을 key로, 출력 이름을 led로 바꾸고 도체와 연결합니다. 저장하고 "컴파일 시작"을 클릭합니다.


쌀. 11 - 그래픽 설명 창, 핀 도구 및 편집 시작이 강조 표시됨

컴파일 후 경고가 표시되었지만 지금은 이를 무시합니다. 연결되지 않은 핀, 클럭 신호 부재 및 "타이밍 분석기"에 대한 설명과 관련이 있습니다.

데모 보드와 프로그래머를 연결하고 "프로그래머" 도구를 선택합니다. 창에 "USB-Blaster"가 표시되어야 합니다. 그렇지 않은 경우 "하드웨어 설정"을 클릭하고 이유를 알아내십시오. 드라이버가 설치되지 않았을 가능성이 높습니다. Windows 장치를 살펴보고, 식별되지 않은 장치를 찾으십시오. 문제가 있을 수 있습니다. 케이블로. 모든 것이 정상이면 "자동 감지"를 클릭하고 칩을 선택하십시오.


쌀. 12 - 프로그래머 창

"파일" 필드를 더블 클릭하고 FPGA에 쓸 파일(프로젝트의 output_files 폴더에 있음)을 선택하고 "프로그램/구성" 필드를 확인한 후 "시작" 버튼을 클릭합니다.


쌀. 13 - 프로그래머 창, 칩이 이미 구성되어 있습니다.

첫 번째 FPGA 구성을 축하합니다! 다이오드 D1에 불이 들어오고, 키 1을 누르면 꺼져야 합니다(버튼이 다리를 접지로 닫으므로 이에 대해 조치를 취하겠습니다).

1 핀 할당 Quartus II 프로젝트에서

메뉴에 과제 장치…프로젝트를 "업로드"할 FPGA를 선택하십시오. 그룹에서 장치 제품군 FPGA가 속한 제품군을 선택해야 합니다. 현장에서 사용 가능한 장치 FPGA 모델을 선택하세요. 예를 들어 Cyclone II 모델 EP2C5T144C8이 있습니다.

그룹에서 '사용 가능한 기기' 목록에 표시케이스 유형별로 장치를 정렬할 수 있습니다( 패키지) 또는 핀 수( 핀 수) FPGA 모델을 빠르게 찾을 수 있습니다.

연결되지 않은 FPGA 레그의 상태를 지정하는 것이 유용할 것입니다. 버튼을 클릭하세요 장치 및 핀 옵션…, 지점으로 이동 미사용 핀, 핀의 상태를 나타냅니다.


FPGA 모델을 지정한 후 창을 닫습니다. 장치버튼을 누르면 좋아요.

신디사이저가 핀 자체에 기능을 할당하도록 하려면 다른 작업을 수행할 필요가 없습니다. FPGA 핀을 수동으로 할당하려면 메뉴로 이동하세요. 과제 핀 플래너또는 Ctrl+Shift+N 키 조합을 누르세요.


핀 매핑 도구 실행 핀 플래너

핀 할당 도구가 시작됩니다. 핀 플래너. 다음은 해당 이름과 함께 프로젝트에 사용되는 I/O 핀 목록입니다. 노드 이름.


지금 칼럼에 위치핀 번호를 지정해야 합니다. 해당 셀을 더블클릭하여 출력 숫자를 선택하거나, 키보드로 숫자를 입력하세요. 핀 번호는 브레드보드에 따라 다릅니다. 예를 들어, 내 보드에서 매뉴얼에 따르면 CLK 클록 펄스는 핀 17에 있으며 빈 핀 94, 97, 100, 103 및 93을 OUT1...OUT5 출력에 할당합니다.


모든 핀이 정의되면 Pin Planner 창이 나타납니다. 핀 플래너닫을 수 있습니다. 이제 프로젝트를 컴파일합니다. 처리 시작 편집또는 Ctrl+L .


2 드라이버 설치 USB 블래스터 프로그래머용

USB Blaster 프로그래머를 컴퓨터에 연결해 보겠습니다(없으면 Ali Express에서 구입할 수 있습니다). 처음 연결할 때 드라이버를 설치해야 합니다. 표준 방식으로 설치되며 Quartus 디렉토리의 폴더에 있습니다. 드라이버: C:\altera\13.0sp1\quartus\drivers


드라이버를 설치한 후 프로그래머는 장치 관리자에 Altera USB-Blaster로 나타납니다.

JTAG 인터페이스를 통해

Altera FPGA는 여러 프로그래밍 모드를 지원합니다. 먼저 펌웨어를 FPGA에 로드하는 방법을 살펴보겠습니다. JTAG 인터페이스. 프로그래머를 FPGA 보드의 JTAG 커넥터에 연결합니다.

프로그래밍 도구를 실행해 보겠습니다. 도구 프로그래머.

프로그래머를 추가해 보겠습니다. 이렇게 하려면 버튼을 누르세요. 하드웨어 설정…드롭다운 목록에서 연결된 USB Blaster를 선택합니다. 창문을 닫자 하드웨어 설정.


프로그래머 창에서 프로그램 제작자버튼을 클릭하세요 자동 감지 Quartus가 연결된 FPGA와 *.sof 펌웨어 파일을 자동으로 감지하도록 합니다.

펌웨어 파일은 컴파일 중에 기본적으로 Quartus에 의해 생성되어 디렉토리에 저장됩니다. 출력_파일, 별도의 규정이없는.

창문에서 프로그램 제작자모드 선택 JTAG, 확인란을 선택하세요. 프로그램/구성그리고 버튼을 누르세요 시작. 펌웨어가 FPGA 메모리에 기록됩니다.


활성 직렬 모드에서

펌웨어를 ROM에 저장하기 위해 펌웨어를 작성합니다. 활성 직렬 모드에서.

프로그래머 케이블을 커넥터에 연결하십시오 처럼또는 활성 직렬. 펌웨어 프로그램을 실행하십시오: 도구 프로그래머.

모드 선택 모드 활성 직렬. 명확한 질문에 답할 때 동의하십시오.

버튼을 클릭하여 펌웨어 파일을 추가하세요. 파일 추가...프로젝트 하위 디렉터리에서 출력_파일확장자를 가진 파일을 찾아보세요 .pof.


펌웨어 파일을 연 후 확인란을 선택하십시오. 프로그램/구성원하는 경우 나머지도 가능합니다.


열의 구성 메모리 유형을 기록해 두십시오. 장치: 보드에 설치한 메모리 유형과 일치해야 합니다.

버튼을 클릭하세요 시작펌웨어를 FPGA 구성 메모리에 로드합니다.


펌웨어 파일을 변환하고 구성하려면 메뉴에서 액세스할 수 있는 도구가 있습니다. 파일 변환 프로그래밍 파일….

이것은 Altera의 프로그래밍 가능 논리 및 Quartus 개발 환경에 관한 시리즈의 첫 번째 부분입니다. Quartus IDE 무료 버전용 배포 키트를 얻는 과정을 설명합니다. 그리고 이 환경에서 새로운 프로젝트를 만드는 과정도요.

시리즈의 다음 부분: Altera FPGA. 2부: 디지털 회로 설계.

두 번째 부분에서는 프로젝트에 새 파일을 추가하는 프로세스를 검토합니다. 디지털 회로의 시각적 디자인.

이 기사 시리즈는 Altera Max II 칩에서 CTRL-CPLD-EPM570 보드 작업에 중점을 두고 있습니다. 이 보드에 설명된 프로젝트에서는 Max II의 특별한 기능을 사용하지 않기 때문에 이 보드는 자료를 성공적으로 익히는 데 꼭 필요한 것은 아닙니다.

프로젝트를 칩에 로드하기 위해 Altera USB Blaster와 호환되는 저렴한 프로그래머가 사용됩니다.

1단계. Altera Quartus 분포 얻기

주목! 2015년 알테라는 인텔에 인수됐다. Altera 브랜드는 2018년 7월에 존재하지 않게 되었으며 altera.com 웹사이트 주소는 더 이상 어디로도 연결되지 않습니다. Altera의 새 이름은 Intel Programmable Solutions Group(PSG)입니다. Altera FPGA 작업에 필요한 모든 문서와 소프트웨어는 이제 intel.com에서 이용 가능합니다.


Altera FPGA용 프로젝트를 생성하려면 독점 IDE인 Quartus가 사용됩니다. Quartus에는 수많은 버전이 있으며, 기존 칩에 맞는 버전을 선택해야 합니다. Altera는 정기적으로 새 버전의 소프트웨어에서 이전 칩에 대한 지원을 제거합니다. 결과적으로 Quartus의 새 버전은 컴퓨터 소프트웨어 및 하드웨어에 대한 요구 사항을 변경합니다. 예를 들어 Quartus 13.1은 64비트 및 32비트 시스템 모두에서 작동하는 최신 버전입니다.

이 기사 시리즈는 Quartus 버전 13.1에 중점을 두고 있습니다. 한편으로는 운영 체제의 비트에 대한 특별한 요구 사항이 없기 때문입니다. 반면 MAX II 시리즈 칩을 지원합니다.

Quartus 배포판을 얻으려면 intel.com 또는 intel.ru에 계정이 있어야 합니다. 안타깝게도 현재(2018년 12월) Intel 웹사이트의 등록 절차는 다소 어렵습니다. 등록 양식을 찾기가 쉽지 않습니다. 배포판을 로드하는 마지막 단계에서만 액세스할 수 있습니다. 또한 등록 과정에서 유효한 이메일 주소, 휴대폰 번호를 제공해야 하며 복잡한 비밀번호를 입력해야 합니다.

Intel과 Altera 간의 활발한 합병 프로세스가 진행되는 동안 타사 리소스를 사용하여 Quartus를 다운로드하는 것이 좋습니다. 배포판은 Yandex 디스크에서 공개적으로 사용할 수 있습니다. 다운로드 링크: https://yadi.sk/d/bcrz7IAAKY6dQg

MAX II 칩을 사용하려면 Quartus 자체와 MAX II 및 MAX V를 지원하는 패키지가 필요합니다. " QuartusSetupWeb-13.1.0.162.exe" 그리고 " max_web-13.1.0.162.qdz" 각각.

기사 끝 부분에는 배포판 다운로드에 대한 이전 버전의 지침이 제공됩니다. Intel 웹 사이트는 Altera의 Software Selector를 사용하므로 이를 사용하여 Intel 웹 사이트에서 배포판을 다운로드하는 방법에 대한 대략적인 아이디어를 얻을 수 있습니다. Altera와 마찬가지로 Software Selector는 사용자가 이전에 자신의 계정으로 사이트에 로그인하지 않은 경우 파일을 다운로드하려고 할 때 사용자를 로그인 페이지로 리디렉션합니다.

이 로그인 페이지는 인텔 웹사이트의 등록 양식에 대한 링크가 포함된 유일한 장소입니다. 등록 링크는 페이지 오른쪽에 있습니다. 일반 사용자의 경우 "개인 계정에 지금 등록" 링크를 사용할 수 있습니다. 링크는 아래 사진에 표시되어 있습니다.

2단계: Quartus II Web Edition 13.1 설치

Quartus II CAD 소프트웨어 설치에는 어떠한 놀라움도 포함되지 않으며 검증된 "다음 → 다음 → 완료" 알고리즘을 따릅니다.

설치 설정을 변경할 필요가 없습니다. 다음은 Quartus II가 기본 설정으로 설치되었다고 가정합니다.

설치가 완료되면 Quartus 환경이 자동으로 시작됩니다. 이 자동 실행은 기본적으로 활성화되어 있습니다.

Quartus CAD를 처음 시작하면 Altera로 원격 측정 전송(여기에서는 TalkBack이라고 함)을 구성하고 라이센스 유형을 선택하라는 메시지가 표시됩니다.

"TalkBack"을 비활성화하거나 활성화하려면 "TalkBack 데이터를 Altera로 보내기 활성화" 확인란을 선택하여 설정합니다. 이 확인란은 Quartus를 설치한 후 도구 → 옵션 → 인터넷 연결 → "TalkBack 옵션..." 버튼 메뉴를 통해 사용할 수도 있습니다.

라이센스 유형을 묻는 메시지가 나타나면 두 번째 옵션인 "Quartus II 소프트웨어 실행"을 선택하기만 하면 됩니다. 이렇게 하면 무료 라이센스를 선택하게 됩니다.

이제 소프트웨어의 설치 및 초기 구성이 완료되었으며 첫 번째 프로젝트 생성을 진행할 수 있습니다.

3단계. Quartus II - 첫 번째 프로젝트 생성

먼저 새 프로젝트에 대한 폴더를 만듭니다. "첫 번째 프로젝트"라고 부르세요.

주목!프로젝트 디렉터리 경로에는 키릴 문자가 포함되어서는 안 됩니다! Quartus II 15 및 13 버전에는 키릴 문자 지원에 문제가 있습니다. 다른 버전은 테스트되지 않았습니다.


이제 Quartus II를 실행하세요. 새로 설치된 Quartus II를 실행하면 아래와 비슷한 창이 나타납니다.


새 프로젝트를 생성하려면 “새 프로젝트 마법사” 버튼을 클릭하거나 파일 → 새 프로젝트 마법사 메뉴를 통해 제공되는 마법사를 사용하세요.

마법사를 시작하면 마법사 중에 구성할 수 있는 프로젝트 매개변수의 간략한 목록을 제공하는 창이 표시됩니다.

"다음"을 클릭하면 아래 스크린샷에 표시된 창으로 이동합니다. 이 창에서는 작업 디렉터리("이 프로젝트의 작업 디렉터리는 무엇입니까?")와 전체 프로젝트 이름("이 프로젝트의 이름은 무엇입니까?")을 지정해야 합니다. 그리고 메인 모듈의 이름("이 프로젝트의 최상위 디자인 엔터티 이름은 무엇입니까? ...")도 있습니다.

마법사는 자동으로 프로젝트 이름을 메인 모듈 이름으로 대체하며 이는 Quartus II의 프로젝트에 대한 일반적인 권장 사항이므로 실제로 필요한 경우가 아니면 벗어나지 않아야 합니다.


"메인 모듈" 자체에 대한 몇 마디입니다. Quartus II 프로젝트에는 설계된 집적 회로의 다양한 기능 블록을 설명하는 많은 파일(모듈)이 포함될 수 있습니다. 그리고 이 세트 중에서 다이어그램의 모든 블록, 즉 최상위 디자인 엔터티 간의 관계를 설명하는 하나의 파일이 반드시 눈에 띕니다. 하나의 파일만 포함하는 소규모 프로젝트의 경우 이 단일 파일은 프로젝트의 기본 모듈이기도 합니다.

다음 단계에서 마법사는 이전에 생성된 프로젝트의 파일을 새 프로젝트에 추가하도록 제안합니다. 첫 번째 프로젝트이므로 3단계로 건너뛰세요.

세 번째 단계는 가장 중요한 단계 중 하나입니다. 여기서는 사용 중인 FPGA 칩의 특정 모델을 표시해야 합니다. 이 단계의 마법사 창은 아래 스크린샷에 표시되어 있습니다. 이 창에서는 사용 가능한 광범위한 목록에서 필요한 마이크로 회로를 단계별로 선택하기 위해 많은 매개 변수를 사용할 수 있습니다. 시작하기 가장 좋은 곳은 "장치 제품군" 블록입니다. 여기에서 귀하의 칩이 속한 제품군을 선택해야 합니다. CTRL-CPLD-EPM570 보드에는 Max II 제품군에 속하는 EPM570T100C5N 칩이 포함되어 있습니다.


오른쪽 블록 – "'사용 가능한 장치' 목록에 표시"에서 필터를 설정하여 창 하단에 있는 미세 회로 목록을 줄일 수 있습니다. 칩 포장 유형을 지정할 수 있습니다. 케이스의 핀 수입니다. 또한 기존 장치의 코어 속도도 마찬가지입니다. 마지막에서 두 번째 필터는 마이크로 회로 이름으로 필터링됩니다. 마이크로 회로의 이름은 이미 정확히 알려져 있으므로 사용하는 것이 가장 편리합니다. 그러나 EPM570T100C5N 표시에 따르면 선택을 위한 미세 회로가 제공되지 않습니다. 이름을 EPM570T100C5로 줄이고 가장 가까운 호환 칩으로 나타나는 목록에서 이 이름을 가진 칩을 선택합니다.

데이터 시트를 살펴보면 칩 표시의 마지막 문자 N은 칩 포장에 납이 없음을 의미하는 것으로 나타났습니다. EPM570T100C5N과 EPM570T100C5의 코어가 완전히 동일하기 때문에 이 사실은 Quartus II의 프로젝트에는 중요하지 않습니다.

다음 네 번째 단계인 "EDA 도구 설정"으로 진행합니다. 여기에서는 전문 칩 설계자가 사용하는 다양한 타사 CAD 도구와의 통합을 설정할 수 있습니다. 이 기사의 목적은 수천 달러의 비용이 드는 전체 응용 프로그램 패키지가 아니라 Quartus II CAD를 마스터하는 데 도움을 주는 것이므로 마지막 단계로 건너뛰십시오.


마지막 단계에서는 새 프로젝트에 대한 일반화된 매개변수 목록을 표시합니다. 프로젝트 이름, 작업 디렉터리, 최상위 디자인 엔터티, 사용된 칩 표시 등의 매개변수가 올바르게 설정되었는지 확인하세요. 그런 다음 "마침"버튼을 클릭하십시오. 그게 다야! 프로젝트가 생성되었습니다.

마법사의 첫 번째 단계에서 "마침" 버튼을 클릭할 수 있다는 것을 눈치채셨을 것입니다. 그리고 실제로 첫 번째 단계에서 이미 클릭하여 작업 디렉터리, 프로젝트 이름 및 기본 모듈만 지정할 수 있습니다. 이 정보만 새 프로젝트를 생성하는 데 꼭 필요합니다. 다른 모든 매개변수는 프로그램의 메인 메뉴에서 언제든지 구성할 수 있습니다. 따라서 프로젝트에 더 많은 파일을 추가하려면(마법사의 두 번째 단계) 프로젝트 → 프로젝트에서 파일 추가/제거 메뉴를 사용하세요. 프로젝트가 생성되는 칩의 모델을 선택하거나 변경하려면(마법사의 세 번째 단계) 할당 → 장치 메뉴를 사용합니다. 추가 개발 도구와의 통합(마법사의 두 번째 단계)은 할당 → 설정 → EDA 도구 설정입니다.

일반적으로 모든 프로젝트 설정은 창에 수집되며 그 보기는 아래 스크린샷에 표시됩니다. 창은 할당 → 설정 메뉴를 통해 호출됩니다.


파일 항목 – 프로그램의 주 메뉴(프로젝트 → 프로젝트에서 파일 추가/제거)에서 불러오는 창입니다. EDA 도구 설정 – 유사합니다. 프로젝트 설정 창의 오른쪽 상단에 있는 "장치..." 버튼을 사용하여 마이크로 회로를 선택할 수 있습니다.

이것으로 Intel(Altera)의 FPGA로 작업하기 위한 교육에 관한 기사의 첫 번째 부분을 마칩니다.

(아카이브) Altera Quartus 분포 얻기

Quartus 배포판을 얻으려면 계정에 로그인하세요. altera.com의 myAltera: 사이트 오른쪽 상단, 검색 창 옆에 있는 “LOG IN” 버튼.

아직 myAltera 계정이 없다면 등록 양식 맨 아래에 있는 "계정이 없습니까?"라는 링크를 따라가기만 하면 계정을 만들 수 있습니다. 하나 만들어 보세요."

myAltera에 로그인한 후 다음으로 이동하십시오. 사이트 다운로드 센터. 글을 쓰는 시점의 링크: https://www.altera.com/downloads/download-center.html

링크가 작동하지 않으면 계정 홈페이지를 통해 다운로드 센터로 이동하세요. 이렇게 하려면 "지원" 섹션을 선택하고 "다운로드" 링크를 따라가면 다운로드 센터로 이동됩니다.

다운로드 센터에는 다양한 버전의 Quartus 최신 버전을 다운로드할 수 있는 직접 링크가 있습니다. 아래는 "Software Selector" 블록입니다. 페이지를 스크롤하여 해당 블록으로 이동하세요.

소프트웨어 선택기에서 필요한 것을 선택하는 방법에는 여러 가지가 있습니다. Q uartus 분포, 탭: "버전별 선택"(필요한 소프트웨어 버전에 따라 직접 선택), "장치별 선택"( 특정 칩에 대한 지원 여부에 따라 소프트웨어 버전 선택), 소프트웨어로 선택( 소프트웨어 제품 선택이름으로).

가장 편리한 옵션은 기존 마이크로 회로에 대한 지원 여부에 따라 선택하는 것 같습니다.

예를 들어 마이크로 회로를 사용하는 경우알테라 맥스 II 그런 다음 '탭을 선택해야 합니다.장치별로 선택" 및 "장치" 블록에서 » 항목 펼치기 « MAX 시리즈'. 안에 나타나는 목록에서 선택맥스 II.

블록 오른쪽에 장치가 표시됩니다지원을 포함하는 Quartus 에디션 및 버전 목록 맥스 II.무료 버전은 Web Edition만 가능합니다.

버전을 선택할 때 버전 14.0부터 Quartus II가 64비트 시스템에서만 작동한다는 사실에 주의하세요. 이러한 이유로 Quartus II 13.1을 선택하는 것이 좋습니다. 다음으로 13회에 대한 작업 및 설치과정을 구체적으로 설명한다.버전.

버전을 선택하면 Quartus II Web Edition 배포판의 다운로드 옵션 페이지로 이동하게 됩니다.

"운영 체제" - Windows.

"다운로드 방법" 귀하의 재량에 따라 선택하십시오. 차이점"직접 다운로드"의 "Akamai DLM3 다운로드 관리자" 첫 번째 경우에는 Quartus 자체의 파일을 다운로드하기 전에 먼저 Windows OS에서 추가 애플리케이션을 다운로드하고 실행해야 한다는 것입니다.이 애플리케이션을 사용하면 동시에 다운로드할 여러 파일을 선택할 수 있으며 해당 파일의 재개도 지원됩니다."직접 다운로드" 다운로드 모드를 선택하면 웹 브라우저를 사용하여 각각의 자체 링크를 사용하여 파일을 별도로 다운로드할 수 있습니다.

위 스크린샷에서는 다운로드 옵션 "직접 다운로드"가 선택되어 있습니다.

"Quartus II 소프트웨어" 및 "MAX II, MAX V 장치 지원" 파일을 다운로드하세요. 이는 MAX II 칩을 사용하는 데 필요한 최소값입니다.


(다) 리서치/볼가소프트 현대 기술. 알테라.(소개 자료)소개 이 기사에서는 프로그래밍 가능한 논리 칩인 디지털 전자 세계의 계산된 돌파구인 현대의 작은 기적에 대해 이야기할 것입니다. 이것은 가장 현대적인 모델에 사용되는 벽돌입니다. ZX-SPECTRUM - GMX 및 스프린터 , 이것은 Z80X(가령 30MHz, 기계 사이클 1 클럭)부터 모든 것에 이르기까지 거의 모든 작업을 수행할 수 있는 동일한 마이크로 회로입니다.오각형 2D 가속기, GS, 마우스 및 IDE 컨트롤러와 함께 제공됩니다. 아마도 모든 라디오 아마추어는 디지털 장치를 개발할 때 모든 질병에 대한 약을 찾을 수있는 곳, 즉 모든 것을 대체 할 미세 회로를 마음 속에 꿈꿨을 것입니다. 엔지니어들은 특히 이러한 장치가 필요했습니다. 중요한 제품을 개발할 때 "작업에 맞게" 맞춤형 집적 회로를 설계해야 했기 때문입니다. 로직이 작성된 순간부터 작동하는 제품이 나타날 때까지 보통 몇 달이 걸리고 엄청난 양의 리소스가 소비되었습니다. 그러나 수요가 공급을 창출합니다. 세미 맞춤형 대규모 집적 회로(LSI)와 프로그래밍 가능 논리 어레이(PLA)가 등장했습니다. 과학은 멈추지 않았고 기술은 점점 더 발전했으며 어느 날 "소프트웨어"+ "하드웨어"+ "스톤"패키지 출시가 발표되었습니다. 아이디어는 매우 간단했습니다. 개발자가 소프트웨어에서 다이어그램을 그리거나(아마도 종이에서 전송할 수도 있음) 이진 방정식과 간단한 연산자를 사용하여 미래 제품의 논리를 설명한 다음 컴파일러는 다이어그램을 일부 코드로 변환하고 코드는 프로그래머(“하드웨어”)에 의해 프로그래밍 가능한 논리 칩(“스톤”)에 꿰매어져 보드에 즉시 납땜될 수 있습니다. 그리고 칩 개발이나 테스트에 드는 비용도 없습니다. 디지털 장치 개발자들은 신제품을 큰 호응을 얻었습니다. 오늘날 시장에는 LSI 프로그래밍 가능 논리(PL) 제조업체가 많이 있습니다. 이들 제품은 용량과 아키텍처는 물론 소프트웨어 및 하드웨어 지원, 서비스 품질, 궁극적으로는 비용 면에서도 다릅니다. 오늘날의 확실한 리더는 회사입니다.알테라 주식회사 . 다양한 제품뿐만 아니라 제품의 유능한 유통 덕분에 시장을 정복했습니다. 예를 들어, 교육 목적으로 소프트웨어 제품(학생용 버전)을 사용하는 것은 무료입니다. 러시아의 경우 일반적으로 중요하지 않지만(저작권법을 본 사람은 거의 없음) 해외에서는 이 문제가 관련이 있습니다. 또한 회사의 제품은 말 그대로 국내 시장에 진출하여 현재 거의 모든 제품을 판매하고 있습니다. Altera의 VLSI PL을 말에서 행동으로 다음 기준에 따라 분류됩니다. 1) 통합 정도(사용 가능한 게이트(2I-NOT 요소) 및 플립플롭의 수) 2) 가장 간단한 기능 변환기(셀)의 아키텍처; 3) VLSI의 내부 구조 구성 및 기능 변환기 연결 매트릭스 구조 (셀 연결 방법) 4) 내부 RAM 메모리의 존재; 5) 프로그래밍 가능 요소(Fuse, EPROM, EEPROM, FLASH, SRAM) 제조 기술 - 회로 배선 방법. 대부분의 BIS PL 회사와 협력알테라 MAX+plus II 하드웨어 설명 언어를 제공합니다. MAX+plus II 설계 자동화 시스템은 6개의 VLSI PL 제품군을 지원합니다.┌─────────┬─────────────────────┐ │ │ 매개변수 │ │ ├────────── ┬─────┬─────┤ │Family│Logic│UPin │Tech │ │ │ 용량 │ │ │ │ │ │ │ ├─── ──────┼────── ────┼─────┼─────┤ │클래식 │ 300-900 │22-64│EPROM│ │MAX5000 │ 600-3750 │24-84│EP ROM│ │MAX7000E │ 600-5000 │ 최대 164│EEP- │ │MAX9000 │ 6e3-12e3 │최대 216│ROM │ │FLEX8000A│ 2500-16e3│최대 208│SRAM │ │FLEX10K │ 1e4-1e5 │최대 406 │SRAM │ └── ───────┴─ ─────────┴─────┴─────┘논리적 용량은 사용 가능한 2I-NOT 요소의 수입니다. 즉, 최대 25,000개의 LA3가 FLEX10K에 들어갈 수 있습니다. UPin - 사용자 핀 수. 기술 - 기술. 테이블에 대한 실제 설명: 가장 치명적인 FLEX8000A(SRAM 기술 - 즉, 전원을 켠 후 장치의 회로를 교류 발전기에 "부어"야 함)를 사용자의 발로 Rodimigo 버스에 걸어 놓는다고 가정해 보겠습니다.얼룩덜룩한 (몇 개의 무료 디코더가 남을 것입니다.) 기본 포트 디코더를 대량으로 만들고 이를 Altina 입력에 연결합니다. 우리는 무엇을 얻나요? 우리는 슈퍼 장치를 얻습니다 !!! 결국, 이제 거의 모든 계획을 변경 사항에 적용할 수 있습니다!!! 외부 매트의 무엇이든 될 수 있습니다. 프로세서(16비트 숫자를 한 포트에 바이트 단위로 넣고, 두 번째 숫자를 다른 포트에 넣고, 연산 코드를 다른 곳에 넣은 다음 결과를 읽는다고 가정해 보겠습니다.) 몇 가지 프리 레그 "어디에서" - 하드웨어 채우기 및 세그먼트의 하드웨어 구성, 다중 채널 프로그래밍 가능 인터럽트(특히 디지털 오디오와 관련), 새로운 프로세서 명령(예:"단거리 선수" 또는 추가 프로세서 레지스터....). 완고한 "코더"처럼 큰 기능을 발명하지 않도록 하드웨어 기능 라이브러리를 만들고 작업에 따라 읽을 수 있습니다. 동일한 FLEX8282A 회로의 "펌웨어"("가장 치명적인", 위 참조)의 무게는 내 메모리가 올바르게 제공된다면 6kB입니다. 구성하는 동안 모든 사용자 다리는 Z 상태에 있으며 시스템 작동에 영향을 주지 않습니다. 장치를 "영원히 지속"해야 하고 전원을 켠 후 매번 구성하지 않으려면 MAX 제품군을 사용할 수 있습니다. 또한 MAX 제품군의 일부 LSI는 "회로 누출"에 대한 보호 기능을 갖추고 있습니다. 이는 약간의 개발 비밀이지만 제 생각에는 이 제품군의 사용이 필요하기 때문에 (적어도 "가정" 조건에서는) 다소 제한적입니다. 프로그래머 및 제한된 수의 프로그래밍 사이클.이제 개발 환경에 대한 몇 가지 단어: 하드웨어 설명 언어 MAX + PLUS II.MAX + plus II 시스템은 다음 플랫폼용으로 작성되었습니다. PC, 맥, 일. 스펙시도 아니고 , 어떤 이유에서인지 악명 높은 여자 친구조차 이 목록에 포함되지 않았습니다. 시스템은 매우 훌륭하고 간단하며 가능성이 넓습니다. 주요 구성 요소는 그래픽 및 텍스트 편집기, 컴파일러, 다이어그램 편집기("디버거"라고도 함), 타이머, 레이아웃 및 레이아웃 편집기입니다. 장치의 다이어그램이 그래픽 편집기에 그려집니다(그래픽 작업이 사용되는 경우). 계획의 요소로 "부르주아 손님에 따라"논리를 사용할 수 있으며 74 번째 시리즈 전체가 거기에 있으며 적의 지정이 마음에 들지 않으면 모든 것을 우리 방식으로 그려서 작동시킬 수 있습니다. 하드웨어에 대한 텍스트 설명을 제공하기 위해 텍스트 편집기가 사용됩니다. 언어는 그 사이 어딘가에 있다. dBase, C, 파스칼 . 동기식 유한 상태 기계를 설명하기 위해 이미 만들어진 명령이 거의 있습니다.만약과 경우 . 가산기는 문자 그대로 다음과 같이 설명됩니다. C=A+B . 전화 칩 카드는 어려움 없이 한 시간 안에 설명되고 테스트됩니다(아래 참조). 컴파일러는 회로도(또는 텍스트)를 확인하고 지정된 "브릭"에 대한 펌웨어를 생성합니다. 속도와 볼륨에 대해 광범위하게 조정 가능한 최적화 제한을 통해 장치의 최대 성능 또는 칩의 최소 점유 영역을 최대한 활용할 수 있습니다. 의사가 고안한 유용한 것이 있습니다. 경주나 위험한 장소가 어디에 있는지, 어떤 조건에서 나타날지 표시하고, 장치를 켠 후 초기화를 누르는 등의 요청을 잊지 마세요. 다이어그램 편집기에서 미래 장치의 작동을 시뮬레이션할 수 있습니다. 입력 신호를 설정하고 해당 출력을 살펴보는 것도 잊지 마세요. 따라서 회로를 조립하기 전에 "max"로 실행하여 확인하는 것이 좋습니다. 사실, 버그도 있었습니다. T-트리거는 다음과 같습니다. RS , 실제로는 쟁기질했지만 차이로 동기화되었습니다. 다이어그램 보기가 매우 편리해졌습니다. 다이어그램을 그룹으로 결합하고 보기 쉬운 숫자 체계(2진수, 10진수, 16진수)를 표시하여 볼 수 있습니다. 입력 데이터는 코드로 지정할 수도 있습니다.회색 . 기기의 내부 상태도 볼 수 있습니다. 타이머(아프리카의 타이머이기도 함)는 지연 시간과 장치의 가능한 최대 작동 빈도를 보여줍니다. 레이아웃 및 레이아웃 편집기는 레이스의 "하드웨어" 제거(로직 셀 재배열) 및 사용자 정의 핀 배치에 사용됩니다. 유통업체 가격표알테라 안타깝게도 잃어버렸기 때문에 FLEX10K10의 가격은 20달러, FLEX8282A의 가격은 8달러, MAX 제품군의 부품 가격은 3.3달러라고밖에 말할 수 없습니다. 정보를 얻을 수 있는 곳이 궁금하다면 기사 끝부분으로 스크롤하세요. 추가 정보가 계속 나올 것이기 때문입니다.가족에 대한 추가 정보 Classic 제품군에는 3가지 VLSI 시리즈가 포함되어 있습니다. 이 제품군의 LSI를 사용하면 중간 수준의 통합 마이크로 회로가 10~20개 포함된 장치를 대체할 수 있으며 다음을 제공합니다. * LSI의 모든 입력 및 출력에서 ​​발생하는 신호 전파 지연은 10ns 이하입니다. * 최대 100MHz의 주파수에서 안정적인 작동; * 저전력 소비 모드에서 작동하는 기능; * 개발 비밀 모드를 설정하는 기능(미크루히에서 회로를 다시 병합할 수 없음). 참고: 이미 단종된 것 같습니다. MAX5000 제품군에는 5개 시리즈의 VLSI가 포함되어 있습니다. 이 제품군의 LSI를 사용하면 평균 통합 수준으로 최대 수십 개의 마이크로 회로를 포함하는 장치를 교체할 수 있으며 다음을 제공합니다. * 15ns 이하의 LSI 입력 및 출력에서 ​​신호 전파 지연; * 최대 76MHz의 주파수에서 안정적인 작동; * 개발 비밀 모드를 설정하는 기능; * 출력 버퍼의 세 가지 작동 모드(입력, 출력, 양방향)를 사용하는 기능. MAX7000 제품군에는 7개 시리즈의 VLSI가 포함되어 있습니다. 이 제품군의 LSI를 사용하면 중간 수준의 통합으로 최대 수백 개의 마이크로 회로를 포함하는 장치를 교체할 수 있으며 다음을 제공합니다. * 모든 입력에서 LSI 출력까지의 신호 전파 지연은 5ns 이하입니다. * 최대 178MHz의 주파수에서 안정적인 작동; * 출력 버퍼 전환 속도를 조절하는 기능; * 출력 버퍼의 4가지 작동 모드 사용 가능성: 입력, 출력, 양방향, 오픈 콜렉터; * 전체 VLSI 전체와 개별 신호의 전파 회로 모두에 대해 저전력 소비 모드를 설정하는 기능 * 보드에 배선한 후 프로그래밍 및 재프로그래밍 가능성; * 개발 비밀 모드를 설정하는 기능; * 감소된(3.3V) 공급 전압으로 작동합니다. 참고: 다수의 시리즈가 PCI 버스 표준을 준수합니다. FLEX8000A 제품군에는 7개 시리즈의 VLSI가 포함되어 있습니다. 이 제품군의 LSI를 사용하면 중간 수준의 통합으로 마이크로 회로로 만들어진 수십 개의 보드를 차지하는 장치를 대체할 수 있으며 다음 기능을 제공할 수 있습니다. * 최대 294MHz의 주파수에서 안정적인 작동; * 3가지 상태의 내부 버스 에뮬레이션; * 감소된(3.3V) 공급 전압으로 작동합니다. * 혼합(3.3V, 5V) 공급 전압을 사용하는 시스템에서 작동합니다. * "즉시"를 포함하여 구성 주기를 무제한으로 구현합니다. VLSI 전원 공급 장치를 끄지 않고; * 출력 버퍼의 스위칭 속도 조절; * 출력 버퍼의 3가지 작동 모드 사용 가능: 입력, 출력, 양방향. 참고: 이 시리즈의 모든 VLSI는 PCI 버스 표준을 준수합니다. MAX9000 제품군에는 4개 시리즈의 칩이 포함되어 있습니다. 이 제품군의 LSI를 사용하면 중간 수준의 통합으로 마이크로 회로로 만들어진 수십 개의 보드를 차지하는 장치를 대체할 수 있으며 다음 기능을 제공할 수 있습니다. * 최대 125MHz의 주파수에서 안정적인 작동; * 매크로셀의 논리적 부분과 트리거의 독립적인 사용; * 전체 VLSI 전체와 개별 신호의 전파 회로 모두에 대해 저전력 소비 모드를 설정하는 기능 * 보드에 배선한 후 프로그래밍 및 재프로그래밍 가능성; * 혼합(3.3V, 5V) 공급 전압을 사용하는 시스템에서 작동합니다. * 출력 버퍼의 스위칭 속도 조절; * 출력 버퍼의 3가지 작동 모드 사용: 입력, 출력, 양방향. 참고: 다수의 시리즈가 PCI 버스 표준을 준수합니다. FLEX10K 제품군에는 7개의 VLSI 시리즈가 포함되어 있습니다. 이 제품군의 LSI를 사용하면 중간 수준의 통합으로 마이크로 회로로 만들어진 수백 개의 보드를 차지하는 장치를 대체할 수 있으며 다음 기능을 제공할 수 있습니다. * 최대 450MHz의 주파수에서 안정적인 작동; * 최대 24Kbit 용량의 정적 메모리 및 ROM을 온칩으로 구현합니다. * 논리적 부분과 각 논리적 요소의 트리거를 독립적으로 사용합니다. * 3가지 상태의 내부 버스 에뮬레이션; * 내부 클럭 주파수를 곱합니다. * 혼합(3.3V, 5V) 공급 전압을 사용하는 시스템에서 작동합니다. * "즉시"를 포함하여 구성 주기를 무제한으로 구현합니다. VLSI 전원 공급 장치를 끄지 않고; * 출력 버퍼의 스위칭 속도 조절; * 출력 버퍼의 4가지 작동 모드 사용 가능: 입력, 출력, 양방향, 오픈 컬렉터. 참고: 이 시리즈의 모든 VLSI는 PCI 버스 표준을 준수합니다. 그게 다야. 진행 상황이 멈추지 않고 각 제품군에 더 많은 시리즈가 추가되었다는 점만 추가하겠습니다.외모 WWW.ALTERA.COM - 여기에서 MAX + Plus II 프로그램에 대한 더 자세한 정보와 전자 키를 얻을 수 있습니다(학생 또는 연구원인 척).EFO 회사. 이메일: [이메일 보호됨]전화번호 (812) 247-5340 194021 상트페테르부르크, Politekhnicheskaya st., 21(여기서 해당 요소를 구입할 수 있습니다).문헌 1. ALTERA 요소 기반 검토(Antonov A.P., Melekhin V.F., Fillipov A.S.; St.Petersburg 1997) 2. 동일한 책(더 커 보인다)이 비디오에서 MAX + Plus II와 함께 컴팩트에 나와 있습니다.PDF(분명히 45MB), 영어로 되어 있습니다.

자체 개발에 참여하는 대부분의 무선 아마추어 및 설계자에게 디지털 초소형 회로는 오랫동안 알려져 왔으며 숙달되어 왔습니다. 많은 사람들이 꽤 오랫동안 마이크로컨트롤러를 기반으로 디자인을 설계해 왔습니다. 마이크로컨트롤러를 사용하면 장치의 크기가 크게 줄어들고 기능이 향상되기 때문입니다. 유일한 문제는 컨트롤러를 기반으로 모든 것을 구축할 수는 없다는 것입니다. 변화하는 입력 신호에 즉각적인 응답이 필요한 고속 장치는 마이크로컨트롤러에 구축할 수 없습니다. 마이크로컨트롤러는 명령의 단계별(파이프라인) 처리를 구성하고 그 결과 특정 신호를 발행하기로 결정되기 때문입니다. 따라서 마이크로컨트롤러와 함께 기존 로직을 사용해야 하며 때로는 적절한 수의 패키지가 필요합니다. 그 결과 많은 수의 외부 연결, 설계 복잡성 및 인쇄 회로 기판의 큰 크기, 연결 도체의 길이가 길고 클럭 주파수가 높은 장치를 구축하기가 어렵고 신뢰성이 낮습니다. 이 문제에 대한 해결책은 프로그래밍 가능 논리 집적 회로(FPGA)를 사용하는 것입니다. FPGA는 서로 전기적으로 연결되지 않은 특정 수의 기능적 기본 요소 집합으로 거의 임의의 구성을 설정할 수 있습니다. 특정 전자 회로를 만들기 위해. 첫 번째 단계에서 FPGA는 K556RT4-RT5 등과 같은 퓨즈 점퍼가 있는 마이크로 회로였으며 일부 기능을 생성하는 것은 가능했지만 카운터와 트리거가 있는 작은 회로를 만드는 것은 불가능했습니다.

단순한 로직을 기반으로 장치를 설계하는 단계는 자동화가 제대로 이루어지지 않았으며 오류가 발생할 경우 추가 요소를 도입하기 위해 보드를 수정해야 합니다. Altera의 MAX+plus II BASELINE 소프트웨어 패키지와 FPGA를 연구하면 회로가 단순화될 뿐만 아니라 많은 함정도 피할 수 있습니다. 이 소프트웨어는 155 시리즈 및 그 유사 제품의 회로를 설계할 때도 사용할 수 있습니다. PLD의 프로그래밍(연결 생성)용(뿐만 아니라

CPLD) EPROM, EEPROM 및 FLASH 기술이 사용됩니다. 무선 아마추어의 경우 FLASH 마이크로 회로는 크리스탈을 약 100회 다시 프로그래밍할 수 있고 공급 전압을 제거한 후에도 프로그래밍 결과로 설정된 구조를 유지할 수 있기 때문에 가장 큰 관심을 끌고 있습니다.

이 기사에서는 Altera의 FPGA(EPM3064A)를 기반으로 하는 회로의 단계별 개발 문제를 가장 저렴한 방법으로 논의합니다. 44핀 PLCC 패키지에 들어있습니다. 마이크로 회로에는 혼합 전원 공급 장치와 다중 전압 입/출력(I/O) 인터페이스가 있습니다. 논리 코어의 공급 전압은 3.3V, 입력/출력 요소 – 5입니다. 3.3; 그리고 2.5볼트. 수정에 따라 최대 클록 주파수는 227.3MHz입니다. 구성 비트를 설정하면 가장자리의 기울기를 제어할 수 있습니다( 회전비율) 입력/출력 신호. 비트를 설정하여 오픈 드레인 출력을 구현하는 방법도 있습니다( 열려 있는물을 빼다). 소비전력을 50% 이상 줄여주는 에너지 절약 모드 설정이 가능합니다. 프라이버시 비트( 보안조금) 칩의 내부 구성을 복사할 수 없습니다. 이 마이크로회로에는 4개의 논리 행렬 블록과 64개의 매크로셀이 있습니다. 프로그래밍 가능한 매크로셀 트리거에는 개별 클록 입력( 시계), 동기화 권한( 시계~할 수 있게 하다), 초기화 ( 분명한) 및 사전 설정( 사전 설정). 각 매크로셀은 프로그래밍 가능한 매트릭스로 간주될 수 있습니다. 그리고고정 행렬 또는. 매트릭스 출력에서 또는구성 가능한 제어 회로가 있는 레지스터가 포함되어 있습니다. 레지스터 제어 회로는 독립적으로 프로그래밍 가능한 클록, 클록 활성화, 재설정 및 사전 설정 신호를 제공합니다. 저자에 따르면 이 마이크로 회로에는 10-15개의 155 시리즈 케이스를 채울 수 있습니다(16개의 로직 매트릭스 블록과 158개의 핀이 있는 EPM3256 마이크로 회로는 판매 중입니다).

EPM3064A 아키텍처에는 다음 요소가 포함됩니다. 논리 블록( 실험실). 매크로셀( 매크로셀). 논리 확장기, 공유( 공유 가능) 및 병렬 ( 평행한). 프로그래밍 가능한 연결 매트릭스( 피아). I/O 제어 장치( 나/영형제어블록). 칩에는 4개의 특수 입력( 헌신적인)는 범용 입력 또는 고속 전역 제어 입력(동기화 - 시계, 영점 조정 분명한두 개의 출력 허가 신호 - ~할 수 있게 하다), 각 매크로셀 및 I/O 핀에 대해. 블록 다이어그램은 그림 1에 나와 있습니다.

그림 1

EPM 3064A의 핵심 아키텍처는 다음과 같습니다. 논리 블록, 16개의 매크로셀로 구성된다. 논리 블록은 프로그래밍 가능한 연결 매트릭스( 피아). 각 논리 블록에는 다음 신호가 공급됩니다. 피아, 논리 입력으로 사용됩니다. 전역 제어 신호. 입력 버퍼에서 레지스터로 회로를 직접 연결하여 고성능을 제공합니다. 마이크로회로의 기능적 구성과 작동 원리에 대해 자세히 알아볼 수 있습니다.

그림 2

칩을 프로그래밍하려면 특별한 다운로드 케이블을 만들어야 합니다. ByteBlasterMV 그림2. 이를 통해 프로젝트 테스트 단계와 작동 중에 FPGA 구성을 빠르고 효율적으로 변경할 수 있습니다. 재프로그래밍은 시스템에서 직접 수행할 수 있습니다. 프로그래밍이 진행되는 동안 마이크로 회로의 핀은 시스템과의 충돌을 피하기 위해 세 번째 상태로 전환됩니다. 내부 풀업 저항의 저항은 50kOhm입니다.

이 다운로드 케이블은 MAX 3000A, MAX 7000, MAX 9000 시리즈 및 기타 여러 마이크로회로 프로그래밍에 사용할 수 있습니다. 자세한 내용은 에서 확인할 수 있습니다. 다운로드 케이블 다이어그램은 그림 3에 나와 있습니다. 커넥터 X1은 컴퓨터의 병렬 포트에 직접 연결되거나 연장 케이블을 통해 연결되며 길이는 1.5미터를 초과할 수 없습니다. 프로그래머의 전원은 설계 중인 장치의 회로에서 직접 가져옵니다. 프로그래머의 정상적인 작동을 위해서는 드라이버를 설치해야 합니다. ByteBlasterMV 이에 대해서는 아래에서 설명하겠습니다. 2.5~5V의 공급 전압 범위에서 작동할 수 있으므로 원래의 D 1 칩을 사용하는 것이 좋습니다(극단적인 경우 1564AP5로 대체 가능). 모든 저항기는 MLT 0.125 유형입니다. 커패시터 C1은 세라믹입니다.

그림 3

인쇄회로기판 그림4. 단면으로 만든 두께 1.5mm, 크기 52x43mm의 유리 섬유.

그림 4

ALTERA의 MAX+PLUS II 시스템 환경에서 프로젝트를 생성하려면 인터넷에서 다운로드하여 Fig5를 설치해야 합니다. 자유롭게 재배포 가능한 파일 기준선10_1.exe사이트 링크는 에 나와 있습니다. 전체 기능을 사용하려면 프로그램을 설치한 후 Altera 웹사이트로 이동하여 라이센스 파일을 받아야 합니다. 이 페이지에서 링크를 선택하세요 학생 및 대학교를 위한 MAX+PLUS II 소프트웨어. 다음 페이지에서 링크를 선택하세요. 버전 10.2, 10.1,또는 9.23그리고 버튼을 누르세요 계속하다 .창의 다음 페이지에서 하드 드라이브 등록 번호를 입력하십시오. Windows에서 이 작업을 수행하려면 버튼을 누르세요. 그런 다음 시작하세요 프로그램, 액세서리, 명령줄,그리고 명령어를 누르세요 디렉토리그리고 입력하다.

그림 5

두 번째 줄에는 8자리 디스크 일련 번호가 인쇄됩니다. 이것이 입력되어야 하는 사항입니다. 다음 버튼을 눌러주세요 계속하다그리고 다음 페이지의 양식을 작성하세요. 양식 작성이 완료되면 버튼을 클릭하세요. 계속하다.라이센스 파일은 우편으로 발송됩니다. 폴더 만들기 c:\mp2학생\그리고 거기에 당신에게 보낸 파일을 넣어 라이센스.dat. 라이센스 파일을 설치하려면 다음을 실행하십시오. MAX+플러스II 10.1 기준선그런 다음 탭을 클릭하세요 옵션그리고 특허설정나타나는 창에서 버튼을 클릭하세요 검색,라이센스 파일의 경로를 지정하십시오. 버튼을 클릭하세요 좋아요. 그 후에는 모든 응용 프로그램을 사용할 수 있습니다. 그런 다음 프로그래머 드라이버 파일을 설치해야 합니다. 이렇게 하려면 버튼을 누르세요. 시작그 다음에 설정, 제어판, 장비 설치더 나아가그 다음 버튼 아, 기기가 이미 연결되어 있어요그리고 버튼 더 나아가. 다음 창에서 선택 새 장치 추가그리고 골목. 버튼을 설치하세요. 목록에서 선택한 장비를 수동으로 설치그리고 골목. 목록에서 선택 소리, 비디오 및 게임 장치그리고 골목. 버튼을 누르세요 디스크에서 설치, 버튼 검토. 경로 설정 C:\maxplus2\Drivers\win2000 WindowsXP가 설치되어 있으면 다음을 클릭하세요. 좋아요.목록에서 선택 알테라 바이트블래스터, 단추 더 나아가그리고 준비가 된.

MAX+PLUS II 시스템에는 편리한 프로젝트 입력, 컴파일 및 디버깅은 물론 직접 장치 프로그래밍을 위한 도구가 있습니다. MAX+PLUS II 시스템 소프트웨어에는 11개의 애플리케이션과 주요 제어 프로그램이 포함되어 있습니다. 프로젝트 생성을 지원하는 다양한 애플리케이션을 즉시 활성화할 수 있으므로 사용자는 마우스 클릭이나 메뉴 명령을 통해 애플리케이션 간에 전환할 수 있습니다. 동시에 컴파일러, 시뮬레이터, 동기화 분석기, 프로그래머 등의 백그라운드 애플리케이션 중 하나가 실행될 수 있습니다.

표에는 애플리케이션에 대한 설명이 제공됩니다.

테이블

애플리케이션 수행되는 기능
계층표시하다 계층 구조 개요— 하위 프로젝트를 나타내는 가지가 있는 트리 형태로 파일의 현재 계층 구조를 표시합니다.
그래픽편집자 그래픽 편집기— 화면의 실제 디스플레이 형식으로 회로 논리 프로젝트를 개발할 수 있습니다.
상징편집자 캐릭터 에디터— 기존 기호를 편집하고 새 기호를 생성할 수 있습니다.
텍스트편집자 텍스트 에디터— AHDL, VHDL, Verilog HDL로 작성된 논리적 디자인 텍스트 파일을 생성하고 편집할 수 있습니다.
파형편집자 신호 편집기— 설계 개발 도구, 테스트 벡터 입력 및 테스트 결과 관찰 도구라는 이중 기능을 수행합니다.
평면도편집자 레벨별스케줄러— 그래픽 방식을 사용하여 장치 접점 및 논리적 요소 리소스를 할당할 수 있습니다.
컴파일러 컴파일러— 그래픽 프로젝트를 처리합니다.
모의 실험 장치 모의 실험 장치— 설계된 논리 회로의 논리 연산 및 내부 동기화를 테스트할 수 있습니다.
타이밍분석기 시간 분석기— 컴파일러에 의해 합성되고 최적화된 후 설계된 논리 회로의 작동을 분석합니다.
프로그램 제작자 프로그램 제작자— ALTERA FPGA를 프로그래밍, 구성, 검증 및 테스트할 수 있습니다.
메시지프로세서 메시지 생성기— 화면에 오류, 경고 및 정보 메시지를 표시합니다.

프로젝트를 배치할 작업 디렉터리를 만들어 보겠습니다. C:\ALTERA_WORK\schetchic. 회로는 AHDL, VHDL, Verilog HDL(프로그래밍을 선호하는 사용자용) 또는 그래픽(라디오 아마추어에게 더 적합)으로 설명할 수 있습니다. 프로젝트 파일은 MAX+PLUS II 시스템의 그래픽 또는 신호 편집기를 사용하여 생성된 그래픽, 텍스트 또는 신호 파일입니다. 이 파일에는 MAX+PLUS II 프로젝트에 대한 로직이 포함되어 있으며 컴파일러에 의해 컴파일됩니다. 컴파일러는 다음 프로젝트 파일을 자동으로 처리할 수 있습니다. 그래픽 프로젝트 파일( .gdf); AHDL 언어로 된 프로젝트 텍스트 파일( .tdf); 프로젝트 신호 파일( .wdf); VHDL 언어의 프로젝트 파일( .vhd); Verilog 언어의 프로젝트 파일( .V); OrCAD 회로도 파일( .sch); EDIF 입력 파일( EDF); 형식 파일 자일링스넷리스트 (.xnf); 프로젝트 파일 알테라 (.adf); 디지털 기계 파일( .smf). 보조 파일은 MAX+PLUS II 프로젝트와 연관되어 있지만 계층 트리의 일부는 아닌 파일입니다. 이러한 파일의 대부분은 프로젝트 논리를 포함하지 않습니다. 그 중 일부는 MAX+PLUS II 시스템 응용 프로그램에 의해 자동으로 생성되고 다른 일부는 사용자에 의해 생성됩니다. 지원 파일의 예로는 할당 및 구성 파일( .acf), 기호 파일( .sym), 보고서 파일( .rpt) 및 테스트 벡터 파일( .vec).

그래서 메인 메뉴에서 파일그 다음에 새로운그리고 항목을 선택하세요 그래픽 편집자 파일그리고 좋아요그림6. 그래픽 편집기 창이 열립니다. 다음으로 프로젝트를 이름으로 저장해 보겠습니다. 도식적인. gdf우리 카탈로그에 C:\ALTERA_WORK\이렇게 하려면 클릭하세요. 파일그 다음에 구하다 처럼그리고 좋아요. 이를 위해 파일 이름을 프로젝트 파일에 바인딩하겠습니다. 메인 메뉴에서 파일그 다음에 프로젝트그리고 앞으로 세트 프로젝트 에게 현재의 파일또는 대신에 키를 동시에 누를 수도 있습니다 Ctrl 키+ 옮기다+ 제이. 프로젝트에 사용될 미세회로 유형을 설정해 보겠습니다. 이렇게 하려면 메인 메뉴에서 버튼을 누르세요. 양수인그 다음에 장치.

나타나는 창에서 해당 줄에 장치 가족시리즈를 선택하세요 최대3000 . 그리고 창문에는 장치 EPM3064ALC44-4 및 좋아요. 프로젝트가 얼마나 많은 공간을 차지할지 모른다면, 장치더 나은 설치 자동, 컴파일러 자체가 마이크로 회로 유형을 선택합니다.

그림 6

작업 라이브러리에는 다양한 유형의 요소가 포함되어 있습니다.

1). 다음과 같은 논리적 기본 요소(c:\maxplus2\max2lib\prim\ 폴더에 위치) 요소 그리고, 또는, ...도 아니다등 다양한 수의 입력이 있습니다.

2). 74번째 시리즈의 이산 논리 아날로그는 155번째 시리즈의 아날로그입니다(c:\maxplus2\max2lib\mf\ 폴더에 있음).

삼). 복잡한 디지털 장치 프로젝트를 생성할 수 있는 매개변수화된 논리 함수(c:\maxplus2\max2lib\mega_lpm\ 폴더에 위치)

자체 컴포넌트 라이브러리를 배치할 디렉토리를 생성해 보겠습니다. C:\ALTERA_WORK\Altera_Lib그리고 프로젝트에 연결해보세요. 이렇게 하려면 메인 메뉴에서 버튼을 누르세요. 옵션그 다음에 사용자도서관그리고 창밖으로 예배 규칙서이름경로로 들어가자 c:\altera_work\altera_lib그 다음에 좋아요.화면에 기호를 배치하는 방법에는 두 가지가 있습니다. 요소를 배치할 위치를 마우스 오른쪽 버튼으로 클릭하고 나타나는 창에서 메뉴를 선택합니다. 기호 입력창문에서 상징이름나타나는 창에서 요소 이름을 입력하고 좋아요. 또는 창에서 필요한 라이브러리를 선택하십시오. 상징도서관대화 상자 입력하다상징마우스 왼쪽 버튼을 두 번 클릭하여 엽니다. 그런 다음 동일한 방식으로 창에서 필요한 요소를 선택하십시오. 상징파일. 프로젝트 규모가 작고 라이브러리의 모든 요소에 만족하는 경우 이러한 방식으로 필요한 모든 요소를 ​​설치하고 도체를 사용하여 해당 핀을 연결합니다. 이를 위해 왼쪽의 그래픽 편집기에 아이콘이 있습니다. 아이콘을 클릭하면 직선 연결, 직각으로 배치된 선, 섹터 및 원이 빨간색으로 강조 표시되면 다음을 수행할 수 있습니다. 연결 주소를 입력하고 연결 자체를 만들지 마십시오. 입력 및 출력 회로는 요소 라이브러리에서 선택하여 적용됩니다. 입력그리고 산출(필요한 경우 이름을 지정합니다.) 논리 1과 0을 플롯하려면 다음을 입력하십시오. vcc또는 접지. 그리고 자신만의 기호가 필요한 경우 그래픽 편집기의 새 창을 열고 그림 7 구성 요소의 다이어그램을 그린 다음 이름을 지정하고 라이브러리에 저장해야 합니다. c:\altera_work\altera_lib. 프로젝트 파일에 연결하고 클릭하여 컴파일합니다. Ctrl+. 오류가 없으면 시뮬레이터를 사용하여 회로 작동을 확인할 수 있습니다.

그림 7

이를 위해 우리는 엽니다 파형 편집자,같은 이름으로 파일을 저장합니다. 아래 첫 번째 줄에 이름:마우스 오른쪽 버튼을 클릭하고 메뉴를 선택하세요. 입력하다노드~에서SNF나타나는 창에서 버튼을 클릭하세요 목록창문에서 사용 가능노드 &여러 떼우리 회로의 모든 입력과 출력이 나타납니다. 필요한 것을 선택합니다(이 경우 안에그리고 밖으로) 그리고 버튼을 누르세요 => 선택한 문자가 복사됩니다오른쪽 창에서. 딸깍 하는 소리 좋아요. 편집기에서 오실로그램을 볼 수 있습니다. 그림 8. 이름 아래 할 수 있다 이를 위해 입력 신호의 초기 상태를 설정하려면 마우스 왼쪽 버튼을 클릭하십시오.입력 상태를 할당하는 아이콘을 클릭하면 왼쪽 줄에 논리 1과 0의 아이콘이 편집기에 표시됩니다. 시간에 따라 변하는 신호를 입력하거나 상태. 오실로그램의 종료 시간은 메뉴로 이동하여 입력할 수 있습니다. 파일그 다음에 시간. 타임스탬프는 메뉴를 통해 설정됩니다. 옵션그 다음에 귀뚜라미 크기.

시간 경과에 따른 오실로그램 상태를 보려면 다음을 실행하세요. 모의 실험 장치버튼 누르기 Ctrl+Shift+. 오실로그램은 그림 9에서 볼 수 있습니다. 결과 구성 요소 모델이 만족스러우면 기호 이미지를 그릴 것입니다. 이렇게 하려면 기호 편집기를 열고 기본 메뉴에서 파일그 다음에 새로운그리고 항목을 선택하세요 상징편집자 파일그리고 좋아요그림6. 마우스 왼쪽 버튼을 클릭하면 먼저 아이콘 왼쪽에 있는 그리기 도구를 선택하여 기호의 윤곽선을 그립니다.

마우스 왼쪽 버튼을 더블클릭하세요 메뉴를 열어 입력하다핀스텁 창 왼쪽에 우리가 표시출력 유형 입력 또는 출력 / 영형유형. 창문에서 가득한핀스텁 이름출력 이름을 지정합니다(이 경우 안에입구 밖으로출구). 모든 라인과 라벨의 크기는 이동하려는 요소를 마우스 왼쪽 버튼으로 클릭하여 변경하고 이동할 수 있습니다. 파일은 라이브러리 폴더에 그래픽 편집기 파일과 동일한 이름으로 저장되어야 합니다.

모든 보조 창을 닫은 후 프로젝트 파일에 기호를 입력할 수 있습니다.

동적 표시가 있는 3자리 카운터의 실제 회로를 고려해 보겠습니다. 그림 10. 전원 공급 장치는 LM 317 유형의 D 2 칩에 조립되어 D 1에 필요한 전압을 제공합니다.

그림 10

표시기 방전의 출력 전류는 모든 표시기가 켜진 상태에서 약 80mA가 될 수 있으므로 표시기 방전은 트랜지스터 VT 1-VT 3에 의해 전환됩니다. 실험 모델의 경우 내부 발전기 회로가 선택되었으며 외부 회로는 다음과 같습니다. 저항 R 16, R 18, C 2이지만 작업 방식에서는 발전기를 외부에 만드는 것이 좋습니다. 저자에 따르면 FPGA는 용량성 부하에서는 잘 작동하지 않습니다. 프로그래머에 연결하려면 커넥터 X1이 필요합니다.

프로젝트의 내부 구조를 고려해 봅시다. 그림 11. 모든 구성 요소는 위의 방법을 사용하여 새로 생성되었으며 자체 구성 요소 라이브러리에 저장되었습니다.

그림 11

다이어그램의 구성 요소 0_3r_정류자– 이는 표시 숫자를 차례로 전환하고 멀티플렉서에 제어 레벨을 발행하는 3자리 스위치입니다. 0_3 그리고_ 또는. 멀티플렉서는 표시된 숫자에 따라 십진수 카운터를 연결합니다. 0_2 _10 _ 카운터에게 바이너리7개 세그먼트디코더 0_ BCD _7 세그먼트 . 구성 요소 이름에 전치사가 포함되어 있습니다. 0 _ 이는 우리 자신의 라이브러리의 요소를 나타냅니다. MAX+PLUS II 프로젝트의 다이어그램에서 구성 요소를 마우스 왼쪽 버튼으로 두 번 클릭하면 그래픽 편집기가 열리고 요소의 다이어그램이 표시됩니다. 그림 12는 회로가 표준 라이브러리 프리미티브로 구성된 스위치를 보여줍니다. 이름 아니다인버터를 의미하고, DFF방아쇠, 그리고2 - 요소 그리고두 개의 입구가 있습니다. 그림 13은 내부 다이어그램을 보여줍니다. 바이너리십진수 카운터. 그림 14는 멀티플렉서를 보여줍니다. 그리고 밥 15 바이너리7개 세그먼트디코더. 다음은 표준 라이브러리의 메가 기능 중 일부 목록입니다.

그림 12

그림 13

그림 14

논리 게이트(게이츠):

lpm_and – AND 요소

lpm_inv – 요소 없음(인버터)

lpm_bustri – 3개 상태 버스

lpm_mux - 멀티플렉서

lpm_clshift - 논리적 시프트

lpm_or – OR 요소

lpm_constant - 상수

lpm_xor – 배타적 OR 요소

lpm_decode - 디코더

멀티플렉서 - 멀티플렉서

Busmux - 멀티플렉서

그림 15

산술 구성요소:

나누기* — 제수

lpm_compare - 비교기

lpm_abs – 절대값

lpm_counter - 카운터

lpm_add_sub – 가산기/감산기

lpm_divide — 제수

lpm_mult — 승수

메모리 요소:

altdpram* - 듀얼 포트 RAM

lpm_latch - 래치 레지스터

lpm_shiftreg - 시프트 레지스터

dcfifo* — 듀얼 클럭 FIFO

lpm_ram_dp - 듀얼 포트 RAM

scfifo* — 단일 클록 FIFO

lpm_ram_dq – 별도의 입력 및 출력 포트가 있는 RAM

csdpram - 주기 공유 듀얼 포트

lpm_ram_io - 공통 입력 및 출력 포트가 있는 RAM

lpm_ff - 트리거

lpm_rom-ROM

lpm_fifo — 단일 클록 FIFO

lpm_dff* — D – 플립플롭 및 시프트 레지스터

lpm_fifo_dc — 듀얼 클럭 FIFO

lpm_tff* – T-트리거

다른 기능들:

clklock - PLL(위상 고정 루프)

pll – 펄스 에지 검출기

ntsc – NTSC 비디오 신호 발생기

모든 컴포넌트가 생성되고 프로젝트의 일반적인 다이어그램이 그려지고 모든 연결이 완료되면 프로젝트를 저장하고 컴파일해야 합니다. 오류가 없다면 반드시 이동 파형 편집자그리고 그것이 어떻게 고려되었는지위에서 프로젝트가 올바르게 작동하는지 확인하십시오. Fig.16.

그림 16

컴파일러에 의해 라우팅된 회로는 자동으로 입력 및 출력 핀을 할당합니다. 평면도 편집자버튼을 눌러. 동일한 편집기에서 원하는 대로 다리의 목적을 변경할 수 있습니다. 이렇게 하려면 버튼을 클릭하고 창에 표시된 핀 이름을 마우스로 드래그합니다. 할당되지 않음노드 및 핀, 해당 FPGA 핀 번호로 이동한 다음 프로젝트를 다시 컴파일하십시오. 그 후, 프로젝트의 내부 구조가 변경되었습니다. 핀 추가 또는 제거와 관련이 없으면 핀 할당이 변경되지 않습니다.

그런 다음 커넥터 X1을 통해 Fig10 회로를 프로그래머에 연결하고 프로그래머를 컴퓨터에 연결하고 (이 모든 절차는 컴퓨터를 끈 상태에서 수행함) 회로에 전원을 공급합니다. 창문을 열다 프로그램 제작자그리고 버튼을 누르세요 프로그램. 프로그램이 로드된 후 회로는 작동 모드로 전환됩니다. 이 방법을 사용하면 인쇄 회로 기판을 변경하지 않고도 변화하는 작업에 따라 장치의 내부 회로를 변경할 수 있습니다.

MAX+PLUS II 소프트웨어 환경을 배우는 데 따른 복잡성에도 불구하고 컴퓨터에서 설계하고 디버깅하는 것은 브레드보드에 회로를 만들고 오실로스코프를 사용하여 추가로 연구하는 것보다 더 많은 정보를 제공하므로 프로젝트를 훨씬 빠르게 수행할 수 있습니다. 앞서 언급했듯이 얻은 결과는 155-555 시리즈를 기반으로 한 구조물 제조에 성공적으로 사용될 수 있습니다.