Bagaimana cara mempersiapkan dan mengunggah proyek ke altera, tolong. Kami menguasai matriks logika yang dapat diprogram dari perusahaan - penetapan Pin altera dalam proyek Quartus II




PERKENALAN

Biasanya ketika seseorang melihat board berfungsi, mereka selalu menanyakan pertanyaan yang sama: - Apakah ini Arduino? - Bagaimana cara kerjanya tanpa Arduino?

Ini bukan mikrokontroler, bahkan bukan prosesor, ini FPGA. FPGA adalah sirkuit terintegrasi logika yang dapat diprogram, sirkuit mikro seperti itu terdiri dari banyak blok atau sel makro yang identik, masing-masing pabrikan menyebutnya secara berbeda, jadi untuk Xilinx ini adalah Irisan (irisan) untuk Altera - LogicElements (elemen logis). Blok-blok ini relatif sederhana, dapat bertindak sebagai beberapa elemen logis, berupa tabel pencarian kecil (LUT), berisi blok penambah, pengganda, pemrosesan sinyal digital (DSP) yang sudah jadi, secara umum, semua yang ada dalam pikiran pabrikan . Pengguna dapat mengatur dan mengkonfigurasi blok-blok ini sesuai keinginannya, sehingga mengimplementasikan rangkaian digital yang cukup kompleks. Anda bahkan dapat mengimplementasikan mikrokontroler pada FPGA, misalnya mengimplementasikan Arduino yang sama atau prosesor dengan arsitektur Anda sendiri, misalnya, bukan prosesor register tetapi prosesor tumpukan; Anda bahkan dapat mengimplementasikan FPGA Anda sendiri pada FPGA!

Di antara FPGA anggaran yang tersedia, dua produsen utama dapat diidentifikasi: Altera dan Xilinx; dengan produk mereka Anda dapat memulai perjalanan Anda dalam menguasai teknologi ini. Menurut pendapat saya, lebih baik memilih chip Altera, karena lingkungan desainnya terus diperbarui, dan jika Anda memilih satu chip Xilinx XC3S500E yang populer, Anda harus puas dengan lingkungan ISE 14.7 yang sudah ketinggalan zaman (walaupun juga memiliki kelebihan) .

Dalam literatur asing Anda dapat menemukan singkatan FPGA: FPGA dan CPLD. CPLD (Perangkat Logika yang Dapat Diprogram Kompleks) - chip dengan sejumlah kecil sel makro, blok khusus, dan konsumsi daya rendah. Sebuah proyek besar tidak dapat disintesis untuk chip jenis ini, tetapi mereka juga digunakan dalam praktik, misalnya, mikrokontroler langka memiliki, katakanlah, 300 kaki. Chip semacam itu sering digunakan sebagai sistem antarmuka, praprosesor, dan ekspander I/O. FPGA (Field-Programmable Gate Array) adalah array gerbang yang dapat diprogram di lapangan (FPGA), chip yang jauh lebih kuat dibandingkan CPLD, tetapi mengkonsumsi lebih banyak daya dan biaya jauh lebih mahal. Untuk mengurangi biaya area cetakan, chip FPGA dapat berisi fungsi siap pakai, seperti unit pemrosesan sinyal digital (unit DSP), prosesor tertanam, dan memori tertanam. FPGA banyak digunakan untuk menguji dan memverifikasi desain, yang disebut validasi pra-silikon, sehingga mengurangi biaya dan waktu sebelum peluncuran produk. Chip FPGA dapat dikonfigurasi ulang hampir kapan saja; pengembangan saat ini sedang berlangsung, misalnya di Intel, untuk menggabungkan arsitektur prosesor konvensional dan chip FPGA. Xilinx sudah memiliki solusi seperti itu - Zynq, tetapi kami tidak akan membicarakannya untuk saat ini.

Kita akan membicarakan hal-hal yang lebih sederhana, terutama karena saya mendapatkan kit pengembangan: Cyclone IV 4 FPGA Core Board dan Altera USB Blaster Downloader PLD Development kit seharga $35, dibeli di AliExpress.

1 Papan Demo Cyclone IV 4 Papan Inti FPGA, deskripsi singkat

Beras. 1 - Pembayaran demo Papan Inti Siklon IV 4 FPGA

Papan (Gbr. 1) memiliki chip EP4CE6E22C8N yang terpasang, karakteristiknya:

Jenis sumber daya Deskripsi Singkat Kuantitas
Elemen logika (Les) Jumlah blok logis - sel, karakteristik utama yang dengannya kita dapat membandingkan "kekuatan" chip; setiap logika yang disintesis akan menggunakan blok ini 6,272
Memori tertanam (Kbits) Memori internal belum menjadi karakteristik penting bagi kami 270
Pengganda 18x18 tertanam Pengganda perangkat keras bawaan, parameter yang sangat penting dalam pemrosesan sinyal digital, kekuatan penuh FPGA terungkap ketika beberapa pengganda beroperasi secara paralel 15
PLL tujuan umum Node loop fase-terkunci, yang belum menjadi karakteristik penting, menyederhanakan sinkronisasi perangkat yang beroperasi pada frekuensi berbeda 2
Jaringan Jam Global Jumlah domain frekuensi belum menjadi karakteristik yang penting 10
Bank I/O Pengguna Jumlah bank I/O pengguna yang terpisah belum menjadi karakteristik yang penting 8
I/O pengguna maksimum Jumlah pin I/O khusus, kita dapat menghubungkan perangkat apa pun, seperti Arduino 91

Dewan memiliki:

  1. Memori Flash – Saat daya dialirkan, FPGA akan dikonfigurasi untuk diimplementasikan dalam memori flash. Anda dapat mensintesis proyek Anda dan menulis ke dalam flash ini
  2. Kuarsa 25 MHz adalah generator frekuensi jam referensi, pada frekuensi inilah semua proyek kami akan beroperasi, FPGA kami dapat mendukung hingga 10 saluran tersebut.
  3. LED – 10, tombol – 2.
  4. Pin I/O 61 + 2 ground, 1 tidak terhubung. Anda dapat menghubungkan berbagai perangkat. DAC-ADC, akselerometer dan giroskop, tampilan karakter dan grafik, seperti Arduino.
  5. Catu daya melalui USB, atau 5V eksternal.

Kit ini juga mencakup programmer JTAG, Altera USB Blaster.

2. Lingkungan Quartus II dan proyek pertama kami

Untuk bekerja dengan FPGA ini kita perlu mengunduh lingkungan pengembangan resmi - Edisi Web Quartus II, gratis. Kita masuk ke website resminya http://dl.altera.com/15.0/?edition=web, pada saat penulisan ini versi 15.0 adalah yang terbaru, jika lebih baru silahkan download.

Memilih:


Beras. 2 - pilih produk Altera yang diperlukan

Dan klik tombol unduh (Unduh File yang Dipilih). Setelah itu kita akan diminta untuk mendaftar, mendaftar, mendownload dan menginstal.

Mari kita buat proyek pertama kita.

Luncurkan Quartus, pilih menu utama File -> New Project Wizard, muncul jendela pengenalan, klik next. Selanjutnya Anda perlu menentukan direktori untuk proyek dan namanya, bidang ketiga adalah nama modul teratas, nanti Anda akan melihat apa itu. Kami memilih folder tempat kami ingin menyimpan proyek dan memberikan nama, saya punya test1.


Beras. 3 - jendela wizard proyek baru

Klik next, kita ditanya apakah itu proyek kosong atau template Proyek. Biarkan kosong, selanjutnya. Kemudian kita disuruh menambahkan file yang sudah ada, kita tidak punya apa-apa, karena kita baru memulai perjalanan, klik next.

Selanjutnya, kita perlu memilih chip kita; ini bisa dilakukan kapan saja. Kami memilih seperti pada gambar, Keluarga – Cyclone IV E, perangkat spesifik yang dipilih di “Perangkat yang tersedia” dan memilih chip EP4CE6E22C8N kami, itu di awal. Jika Anda punya yang lain, temukan milik Anda, itu penting. Klik berikutnya.


Beras. 4 -

Sebuah jendela akan muncul - memilih alat desain, debugging, lewati ini untuk saat ini, klik berikutnya dan kemudian selesai.


Beras. 5 - Jendela "Assinments-Deice"

Di jendela, pilih item “Pin yang tidak digunakan”. Pengaturan ini menentukan apa yang terjadi pada pin yang tidak terhubung. Hal ini mungkin penting; dalam proyek Anda, Anda tidak mungkin menggunakan semua pin, dan pin yang tidak tersambung mungkin sebenarnya tersambung ke ground atau listrik (yah, Anda tidak pernah tahu siapa yang menyambungkan papan tersebut). Jika Anda menempelkannya ke pin yang diarde, pin tersebut akan terbakar, jadi Anda perlu memantaunya dengan cermat.

Secara default, pin yang tidak digunakan “Sebagai input tri-status dengan pull-up lemah” (pin input berada pada status ketiga dengan impedansi tinggi, dengan daya pull-up lemah), Anda dapat meninggalkan atau memilih “Sebagai input tri-status ”. Anda dapat membaca tentang resistor pull-up di Wikipedia https://ru.wikipedia.org/wiki/Pull-up_resistor. Dalam teknologi digital dapat terdapat tiga keadaan, keadaan logis adalah tegangan suplai atau level tinggi, keadaan nol logis adalah ketika pin dihubungkan ke ground atau keadaan level rendah dan impedansi tinggi. Kondisi impedansi tinggi- ini adalah ketika pin memiliki resistansi yang sangat tinggi dan praktis tidak mempengaruhi kabel yang terhubung. Keadaan ini diperlukan, misalnya, ketika mengatur bus, ketika banyak perangkat terhubung ke satu kabel dan perangkat yang tidak aktif tidak mengganggu dengan operasi.


Beras. 6 - Jendela “Opsi Perangkat dan Pin”, Pin yang Tidak Digunakan

Kami menghubungkan semuanya di “Editor Tugas”


Beras. 7 - Panggil "Editor Tugas" dari menu atau panel

Di jendela yang muncul, lakukan seperti yang saya lakukan, atau, jika benar, sesuai dengan diagram papan Anda.


Beras. 8 - Editor Penugasan

Pada kolom “Kepada”, masukkan nama input atau output. Pada kolom “Nama Tugas”, pilih “Lokasi”. Pada kolom “Value” terdapat nomor pin dari rangkaian mikro, sesuai dengan diagram papan (saya memiliki nomor pin yang tertulis langsung di papan).

Anda juga perlu menentukan apa yang harus dilakukan dengan tombol-tombol tersebut, yang dihubungkan dengan satu kaki ke ground dan kaki lainnya ke input chip. Saat ditekan di bagian kaki akan ada level rendah, tetapi tanpa ditekan tidak jelas, kaki chip hanya akan menggantung di udara, itu sangat buruk. Anda perlu menghubungkan input chip ke daya baik dengan resistor di papan atau dengan cara yang lebih elegan di "Editor Tugas". Pada kolom “Nama Penugasan”, pilih “Resistor Pull Up Lemah” untuk grup kunci* (grup ditandai dengan tanda bintang).


Beras. 9 - Editor Tugas

Selanjutnya, Anda perlu membuat deskripsi modul tingkat atas, yang akan bekerja langsung dengan kaki chip; semua modul lainnya hanya akan bekerja dengannya. Di menu utama, klik New, dan pilih "Design Files-> Block Diagram/Schematic File".


Beras. 10 - Dialog file baru

Di jendela yang terbuka, pilih "Pin Tool" dan tempatkan input dan output (pin input dan output) pada diagram. Kami mengganti nama input menjadi kunci, output menjadi led dan menghubungkannya dengan konduktor. Simpan dan klik "Mulai Kompilasi".


Beras. 11 - Jendela deskripsi grafis, Alat Pin dan Mulai Kompilasi disorot

Setelah kompilasi, kami mendapat peringatan, kami mengabaikannya untuk saat ini, peringatan tersebut berkaitan dengan pin yang tidak terhubung, sinyal jam yang hilang, dan deskripsi untuk "Timing Analyzer".

Kami menghubungkan papan demo dan programmer, pilih alat "Programmer". Jendela seharusnya mengatakan "USB-Blaster", jika tidak, lalu klik "Hardware Setup" dan coba cari tahu mengapa tidak, kemungkinan besar driver tidak diinstal, lihat perangkat Windows, cari perangkat yang tidak dikenal, mungkin ada masalah dengan kabel. Jika semuanya baik-baik saja, klik “Deteksi Otomatis” dan pilih chip kami.


Beras. 12 - Jendela Pemrogram

Klik dua kali pada kolom “File” dan pilih file yang akan ditulis ke FPGA (terletak di folder output_files proyek kita), centang kolom “Program / Konfigurasi”, dan klik tombol “Start”.


Beras. 13 - Jendela pemrogram, chip kami sudah dikonfigurasi

Selamat atas konfigurasi FPGA pertama Anda! Dioda D1 akan menyala, ketika Anda menekan tombol 1 maka akan padam (karena tombol menutup kaki ke ground), maka kita akan melakukan sesuatu)

1 Penetapan pin dalam proyek Quartus II

Di menu Perangkat Tugas… pilih FPGA tempat Anda akan “mengunggah” proyek. Di Grup Keluarga Perangkat Anda harus memilih keluarga tempat FPGA Anda berada. Di lapangan Perangkat yang tersedia pilih model FPGA Anda. Misalnya, saya punya Cyclone II, model EP2C5T144C8.

Di Grup Tampilkan di daftar "Perangkat yang tersedia". Anda dapat mengurutkan perangkat berdasarkan jenis kasus ( Kemasan) atau berdasarkan jumlah pin ( Jumlah pin) untuk menemukan model FPGA Anda dengan cepat.

Akan berguna untuk menentukan keadaan kaki FPGA yang tidak terhubung. Klik tombolnya Opsi Perangkat dan Pin…, langsung ke poin Pin yang Tidak Digunakan, dan tunjukkan status pin.


Setelah Anda menentukan model FPGA, tutup jendela Perangkat dengan menekan tombol OKE.

Jika Anda ingin synthesizer menetapkan fungsi ke pin itu sendiri, Anda tidak perlu melakukan apa pun. Dan untuk menetapkan pin FPGA secara manual, buka menu Perencana Pin Tugas atau tekan kombinasi tombol Ctrl+Shift+N.


Menjalankan Alat Pemetaan Pin Perencana Pin

Alat penetapan pin akan diluncurkan. Perencana Pin. Di bawah ini adalah daftar pin I/O yang digunakan dalam proyek Anda dengan nama yang sesuai. Nama Node.


Sekarang di kolom Lokasi Anda perlu menentukan nomor pin. Klik dua kali pada sel yang sesuai dan pilih nomor keluaran, atau masukkan nomor dari keyboard. Nomor pin akan bergantung pada papan tempat memotong roti Anda. Misalnya, di board saya, pulsa jam CLK, menurut manual, ada di pin 17, dan saya akan menetapkan pin gratis 94, 97, 100, 103 dan 93 ke output OUT1...OUT5.


Setelah semua pin telah ditentukan, jendela Pin Planner Perencana Pin dapat ditutup. Sekarang kompilasi proyeknya: Memproses Kompilasi Mulai atau Ctrl+L .


2 Instalasi pengemudi untuk pemrogram USB Blaster

Mari kita sambungkan programmer USB Blaster ke komputer (jika Anda tidak memilikinya, Anda dapat membelinya di Ali Express). Saat pertama kali Anda terhubung, Anda perlu menginstal driver. Itu diinstal dengan cara standar, dan terletak di direktori Quartus, di folder pengemudi: C:\altera\13.0sp1\quartus\drivers


Setelah menginstal driver, pemrogram akan muncul di pengelola perangkat sebagai Altera USB-Blaster.

melalui antarmuka JTAG

Altera FPGA mendukung beberapa mode pemrograman. Pertama, mari kita lihat memuat firmware ke FPGA via antarmuka JTAG. Hubungkan programmer ke konektor JTAG pada papan FPGA.

Mari luncurkan alat pemrograman: Pemrogram Alat.

Mari tambahkan seorang programmer. Untuk melakukan ini, tekan tombol Pengaturan Perangkat Keras… dan pilih USB Blaster yang terhubung dari daftar drop-down. Mari kita tutup jendelanya Pengaturan Perangkat Keras.


Di jendela pemrogram Programmer klik tombolnya Deteksi otomatis agar Quartus mencoba mendeteksi secara otomatis FPGA yang terhubung dan file firmware *.sof.

File firmware dibuat oleh Quartus secara default selama kompilasi dan disimpan dalam direktori file_output, kecuali ditentukan.

Di jendela Programmer pilih mode JTAG, centang kotaknya Program/Konfigurasi dan tekan tombolnya Awal. Firmware akan ditulis ke memori FPGA.


dalam mode Serial Aktif

Agar firmware dapat disimpan di ROM, kami menulis firmware dalam mode Serial Aktif.

Hubungkan kabel programmer ke konektor SEBAGAI atau Serial Aktif. Jalankan program firmware: Pemrogram Alat.

Pilih modus Mode Serial Aktif. Setuju saat menjawab pertanyaan klarifikasi.

Tambahkan file firmware dengan mengklik tombol Tambah berkas... Di subdirektori proyek file_output temukan file yang berekstensi .pof.


Setelah membuka file firmware, centang kotaknya Program/Konfigurasi dan, jika diinginkan, sisanya.


Catat jenis memori konfigurasi pada kolom Perangkat: Ini harus sesuai dengan jenis memori yang Anda pasang di board Anda.

Klik tombolnya Awal untuk memuat firmware ke dalam memori konfigurasi FPGA.


Untuk mengkonversi dan mengkonfigurasi file firmware, ada alat yang dapat diakses dari menu Konversi File File Pemrograman….

Ini adalah bagian pertama dari seri yang didedikasikan untuk logika yang dapat diprogram dan lingkungan pengembangan Quartus dari Altera. Ini menjelaskan proses mendapatkan kit distribusi untuk Quartus IDE edisi gratis. Dan juga proses pembuatan proyek baru di lingkungan ini.

Bagian selanjutnya dari seri ini: Altera FPGA. Bagian 2: Desain Sirkuit Digital.

Bagian kedua membahas proses penambahan file baru ke proyek. Desain visual sirkuit digital.

Rangkaian artikel ini difokuskan untuk bekerja dengan papan CTRL-CPLD-EPM570 pada chip Altera Max II. Papan ini tidak sepenuhnya diperlukan untuk keberhasilan penguasaan materi, karena proyek yang dijelaskan di dalamnya tidak menggunakan fitur khusus apa pun dari Max II.

Untuk memuat proyek ke dalam chip, digunakan programmer murah yang kompatibel dengan Altera USB Blaster.

Langkah 1. Mendapatkan distribusi Altera Quartus

Perhatian! Pada tahun 2015, Altera diakuisisi oleh Intel. Merek Altera sudah tidak ada lagi pada bulan Juli 2018, dan alamat website altera.com tidak lagi mengarah kemana-mana. Nama baru Altera adalah Intel Programmable Solutions Group (PSG). Semua dokumentasi dan perangkat lunak yang diperlukan untuk bekerja dengan Altera FPGA kini tersedia di intel.com


Untuk membuat proyek untuk Altera FPGA, IDE berpemilik digunakan - Quartus. Ada banyak sekali versi Quartus, dan Anda harus memilih versi yang tepat untuk chip yang ada. Altera secara rutin menghapus dukungan untuk chip lama dari versi baru perangkat lunaknya. Pada gilirannya, versi baru Quartus mengubah persyaratan perangkat lunak dan perangkat keras komputer. Misalnya, Quartus 13.1 adalah versi terbaru yang berfungsi pada sistem 64-bit dan 32-bit.

Rangkaian artikel ini difokuskan pada Quartus versi 13.1. Karena, di satu sisi, tidak ada persyaratan khusus untuk bitness sistem operasi. Di sisi lain, ia mendukung chip seri MAX II.

Untuk mendapatkan distribusi Quartus, Anda harus memiliki akun di intel.com atau intel.ru Sayangnya, saat ini (Desember 2018) prosedur registrasi di website Intel terbilang sulit. Formulir pendaftaran tidak mudah ditemukan. Itu hanya dapat diakses pada tahap akhir memuat distribusi. Selain itu, selama proses pendaftaran, Anda harus memberikan alamat email yang valid, nomor ponsel, dan pastikan untuk membuat kata sandi yang rumit.

Saat proses merger aktif antara Intel dan Altera sedang berlangsung, lebih baik menggunakan sumber daya pihak ketiga untuk mengunduh Quartus. Distribusinya tersedia untuk umum di disk Yandex, tautan unduhan: https://yadi.sk/d/bcrz7IAAKY6dQg

Untuk bekerja dengan chip MAX II, Anda memerlukan Quartus itu sendiri, dan paket untuk mendukung MAX II dan MAX V. Ini adalah file “ QuartusSetupWeb-13.1.0.162.exe" Dan " max_web-13.1.0.162.qdz" masing-masing.

Di akhir artikel, tersedia instruksi versi lama untuk mengunduh distribusi: . Anda dapat menggunakannya untuk mendapatkan gambaran kasar tentang cara mengunduh distribusi dari situs web Intel, karena situs web Intel menggunakan Software Selector dari Altera. Dan seperti Altera, Software Selector mengarahkan pengguna ke halaman login ketika mencoba mengunduh file apa pun, jika pengguna sebelumnya belum pernah login ke situs dengan akunnya.

Halaman login ini adalah satu-satunya tempat yang berisi link ke formulir pendaftaran di website Intel. Tautan pendaftaran terletak di sisi kanan halaman. Bagi pengguna biasa, Anda dapat menggunakan tautan “Daftar sekarang untuk akun perorangan”. Tautannya ditunjukkan pada gambar di bawah ini.

Langkah 2: Instal Quartus II Web Edition 13.1

Instalasi perangkat lunak CAD Quartus II tidak mengandung kejutan apa pun dan mengikuti algoritma “Berikutnya → Berikutnya → Selesai” yang telah terbukti.

Tidak perlu mengubah pengaturan instalasi. Berikut ini asumsi bahwa Quartus II diinstal dengan pengaturan default.

Setelah instalasi selesai, lingkungan Quartus akan dimulai secara otomatis. Jalan otomatis ini diaktifkan secara default.

Saat pertama kali meluncurkan Quartus CAD, Anda akan diminta untuk mengonfigurasi pengiriman telemetri ke Altera (di sini disebut TalkBack) dan memilih jenis lisensi.

Menonaktifkan atau mengaktifkan “TalkBack” diatur dengan mencentang kotak “Aktifkan pengiriman data TalkBack ke Altera”, yang juga tersedia setelah menginstal Quartus melalui menu Alat → Opsi → Konektivitas Internet → tombol “Opsi TalkBack…”.

Saat dimintai jenis lisensi, Anda cukup memilih opsi kedua: “Jalankan perangkat lunak Quartus II.” Dengan cara ini Anda akan memilih lisensi gratis.

Pada titik ini, instalasi dan konfigurasi awal perangkat lunak selesai dan Anda dapat melanjutkan untuk membuat proyek pertama Anda.

Langkah 3. Quartus II - membuat proyek pertama

Pertama, buat folder untuk proyek baru. Sebut saja "proyek pertama".

Perhatian! Jalur ke direktori proyek tidak boleh menyertakan karakter Sirilik! Versi Quartus II 15 dan 13 memiliki masalah dengan dukungan Cyrillic. Versi lain belum diuji.


Sekarang luncurkan Quartus II. Saat Anda meluncurkan Quartus II yang baru diinstal, Anda akan disambut oleh jendela yang mirip dengan yang ditunjukkan di bawah ini.


Untuk membuat proyek baru, gunakan wizard yang tersedia dengan mengklik tombol “New Project Wizard” atau melalui menu File → New Project Wizard.

Saat Anda meluncurkan wizard, sebuah jendela akan ditampilkan yang menyediakan daftar singkat parameter proyek yang dapat dikonfigurasi selama wizard.

Klik "Berikutnya" dan Anda akan dibawa ke jendela yang ditunjukkan pada gambar di bawah. Di jendela ini, Anda harus menentukan direktori kerja (“Apa direktori kerja untuk proyek ini?”) dan nama keseluruhan proyek (“Apa nama proyek ini?”). Dan juga nama modul utamanya (“Apa nama entitas desain tingkat atas untuk proyek ini?…”).

Wizard secara otomatis mengganti nama proyek dengan nama modul utama, dan ini adalah rekomendasi umum untuk proyek di Quartus II, yang tidak boleh menyimpang kecuali benar-benar diperlukan.


Beberapa kata tentang “modul utama” itu sendiri. Proyek Quartus II dapat mencakup banyak file (modul) yang menjelaskan berbagai blok fungsional dari sirkuit terpadu yang dirancang. Dan di antara kumpulan ini, satu file harus menonjol, yang menggambarkan hubungan antara semua blok diagram - entitas desain tingkat atas. Untuk proyek kecil yang hanya berisi satu file, file tunggal ini juga akan menjadi modul utama proyek.

Pada langkah selanjutnya, wizard akan menawarkan untuk menambahkan file dari proyek yang dibuat sebelumnya ke proyek baru. Karena ini adalah proyek pertama Anda, langsung saja ke langkah ketiga.

Langkah ketiga adalah salah satu yang paling penting. Di sini Anda perlu menunjukkan model spesifik chip FPGA yang Anda gunakan. Jendela wizard pada langkah ini ditunjukkan pada gambar di bawah. Di jendela ini, banyak parameter tersedia untuk pemilihan langkah demi langkah dari sirkuit mikro yang diperlukan dari daftar lengkap yang tersedia. Tempat terbaik untuk memulai adalah dengan blok “Keluarga Perangkat”. Di sini Anda perlu memilih keluarga tempat chip Anda berada. Papan CTRL-CPLD-EPM570 berisi chip EPM570T100C5N, yang termasuk dalam keluarga Max II.


Di blok sebelah kanan – “Tampilkan di daftar 'Perangkat yang tersedia'”, Anda dapat mengatur filter untuk mengurangi daftar sirkuit mikro, yang terletak di bagian bawah jendela. Anda dapat menentukan jenis kemasan chip. Jumlah pin pada casingnya. Dan juga kecepatan inti dalam satuan konvensional. Filter kedua hingga terakhir disaring berdasarkan nama sirkuit mikro. Akan lebih mudah untuk menggunakannya, karena nama sirkuit mikro sudah diketahui secara pasti. Namun menurut penandaan EPM570T100C5N, tidak ada sirkuit mikro yang ditawarkan untuk dipilih. Persingkat namanya menjadi EPM570T100C5, dan pilih chip dengan nama ini dari daftar yang muncul sebagai chip yang paling kompatibel.

Jika dilihat dari datasheetnya, ternyata huruf N terakhir pada penandaan chip hanya berarti tidak adanya timbal pada kemasan chip. Fakta ini tidak menjadi masalah untuk proyek Anda di Quartus II karena inti EPM570T100C5N dan EPM570T100C5 benar-benar identik.

Lanjutkan ke langkah berikutnya, keempat - “Pengaturan Alat EDA”. Di sini Anda dapat mengatur integrasi dengan berbagai alat CAD pihak ketiga yang digunakan oleh perancang chip profesional. Karena tujuan artikel ini adalah untuk membantu Anda menguasai Quartus II CAD, dan bukan keseluruhan paket aplikasi yang menelan biaya ribuan dolar, langsung saja ke langkah terakhir.


Langkah terakhir menampilkan daftar umum parameter untuk proyek baru Anda. Periksa apakah parameter seperti nama dan direktori kerja proyek, entitas desain tingkat atas, penandaan chip yang digunakan telah diatur dengan benar. Kemudian klik tombol "Selesai". Itu saja! Proyek telah dibuat.

Anda mungkin telah memperhatikan bahwa tombol “Selesai” tersedia untuk diklik dari langkah pertama wizard. Dan Anda sebenarnya sudah bisa mengkliknya pada langkah pertama, hanya menentukan direktori kerja, nama proyek dan modul utama. Hanya informasi ini yang mutlak diperlukan untuk membuat proyek baru. Semua parameter lainnya tersedia untuk dikonfigurasi kapan saja dari menu utama program. Jadi, untuk menambahkan lebih banyak file ke proyek Anda (langkah kedua dari wizard), gunakan menu Proyek → Tambah/Hapus File dalam proyek. Untuk memilih atau mengubah model chip yang proyeknya sedang dibuat (langkah ketiga dari wizard), gunakan menu Tugas → Perangkat. Integrasi dengan alat pengembangan tambahan (langkah kedua dari belakang wizard) adalah Tugas → Pengaturan → Pengaturan Alat EDA.

Secara umum, semua pengaturan proyek dikumpulkan dalam sebuah jendela, tampilannya ditunjukkan pada gambar di bawah. Jendela tersebut dipanggil melalui menu Tugas → Pengaturan.


Item File – ini adalah jendela yang dipanggil dari menu utama program (Proyek → Tambah/Hapus File dalam proyek). Pengaturan Alat EDA – serupa. Pilihan sirkuit mikro tersedia menggunakan tombol "Perangkat..." di sudut kanan atas jendela pengaturan proyek.

Demikianlah bagian pertama artikel tentang pelatihan untuk bekerja dengan FPGA dari Intel (Altera).

(Arsip) Mendapatkan distribusi Altera Quartus

Untuk mendapatkan distribusi Quartus, masuk ke akun Anda myAltera di altera.com: Tombol “LOG IN” di sudut kanan atas situs, di sebelah bilah pencarian.

Jika Anda belum memiliki akun myAltera, Anda dapat membuatnya hanya dengan mengikuti link di bagian paling bawah formulir pendaftaran, baris “Belum punya akun? Buat satu."

Setelah masuk ke myAltera, buka pusat pengunduhan situs. Tautan pada saat penulisan: https://www.altera.com/downloads/download-center.html

Jika tautannya tidak berfungsi, silakan buka pusat unduhan melalui laman beranda akun Anda. Untuk melakukan ini, pilih bagian “DUKUNGAN” dan di dalamnya ikuti tautan “Unduhan”, yang akan membawa Anda ke pusat unduhan.

Pusat unduhan memiliki tautan langsung untuk mengunduh Quartus versi terbaru dalam berbagai edisi. Di bawah ini adalah blok "Pemilih Perangkat Lunak", gulir halaman ke sana.

Di Pemilih Perangkat Lunak Ada beberapa cara untuk memilih apa yang Anda butuhkan Distribusi Q uartus, tab: “Select by Version” (pemilihan langsung sesuai versi software yang dibutuhkan), “Select by Device” ( memilih versi perangkat lunak berdasarkan ketersediaan dukungan untuk chip tertentu), Pilih berdasarkan Perangkat Lunak ( pemilihan produk perangkat lunak berdasarkan namanya).

Opsi yang paling nyaman tampaknya adalah pilihan berdasarkan ketersediaan dukungan untuk sirkuit mikro yang ada.

Misalnya, jika sirkuit mikro digunakan Altera Maks II maka Anda perlu memilih tab “ Pilih berdasarkan Perangkat", dan di blok "Perangkat". » perluas item « seri MAKS". DI DALAM pilih dari daftar yang muncul Maks II.

Di sebelah kanan blok Perangkat akan ditampilkan daftar edisi dan versi Quartus yang menyertakan dukungan Maks II. Edisi gratisnya hanya Edisi Web.

Saat memilih versi, perhatikan fakta bahwa Quartus II, mulai dari versi 14.0, hanya berfungsi pada sistem 64-bit. Untuk itu saya sarankan memilih Quartus II 13.1. Selanjutnya akan dijelaskan proses pengerjaan dan pemasangannya khusus untuk yang ketigabelasversi.

Setelah memilih versi, Anda akan dibawa ke halaman opsi pengunduhan untuk distribusi Quartus II Web Edition.

"Sistem Operasi" - Windows.

"Metode Unduhan" pilih sesuai kebijaksanaan Anda. Perbedaan"Pengelola Unduhan Akamai DLM3" dari "Unduhan Langsung" adalah dalam kasus pertama, sebelum mengunduh file Quartus itu sendiri, Anda harus terlebih dahulu mengunduh dan menjalankan aplikasi tambahan di OS Windows.Aplikasi ini memungkinkan Anda memilih beberapa file untuk diunduh secara bersamaan, dan juga mendukung untuk melanjutkannya. Bila Anda memilih mode unduh “Unduh Langsung”, file dapat diunduh secara terpisah, masing-masing menggunakan tautannya sendiri, menggunakan browser Web.

Pada tangkapan layar di atas, opsi pengunduhan “Unduhan Langsung” dipilih.

Unduh file "Perangkat Lunak Quartus II" dan "MAX II, dukungan perangkat MAX V". Ini adalah jumlah minimum yang diperlukan untuk bekerja dengan chip MAX II.


(C) Riset/VolgaSoft Teknologi modern. MENGUBAH.(bahan pengantar) PERKENALAN Artikel ini akan membahas tentang keajaiban kecil modern, terobosan yang diperhitungkan dalam dunia elektronik digital - chip logika yang dapat diprogram. Ini adalah batu bata yang paling menonjol dalam model modern ZX-SPECTRUM - GMX dan SPRINTER , ini adalah sirkuit mikro yang sama tempat Anda dapat melakukan hampir semua hal - mulai dari Z80X (katakanlah, 30 MHz, siklus mesin 1 jam), hingga semuanya Segi lima bersama dengan akselerator 2D, GS, mouse, dan pengontrol IDE. Mungkin setiap amatir radio, ketika mengembangkan perangkat digital, bermimpi di dalam hatinya - di mana menemukan pil untuk semua penyakit - sebuah sirkuit mikro yang akan menggantikan segalanya. Para insinyur khususnya membutuhkan perangkat semacam itu, karena ketika mengembangkan produk yang serius, mereka harus merancang sirkuit terintegrasi khusus “untuk tugas tersebut.” Dari saat logika dibuat hingga produk yang berfungsi muncul, biasanya beberapa bulan telah berlalu dan sejumlah besar sumber daya dihabiskan. Namun permintaan menciptakan pasokan - sirkuit terintegrasi skala besar (LSI) semi-kustom dan array logika yang dapat diprogram (PLA) telah muncul. Ilmu pengetahuan tidak tinggal diam, teknologi menjadi semakin maju, dan suatu hari peluncuran paket “perangkat lunak” + “perangkat keras” + “batu” diumumkan. Idenya sangat sederhana: pengembang menggambar diagram di perangkat lunak (mungkin mentransfernya dari kertas), atau mendeskripsikan logika produk masa depan dengan persamaan biner dan operator sederhana, kemudian kompiler menerjemahkan diagram tersebut ke dalam beberapa kode, dan kode tersebut dijahit oleh seorang programmer (“perangkat keras”). ") menjadi chip logika yang dapat diprogram ("batu"), yang dapat segera disolder ke papan. Dan tidak ada biaya untuk pengembangan atau pengujian chip. Pengembang perangkat digital menerima produk baru ini dengan penuh semangat. Saat ini ada banyak sekali produsen LSI yang dapat diprogram logika (PL) di pasaran. Produk mereka berbeda dalam hal kapasitas dan arsitektur, serta kualitas dukungan perangkat lunak dan perangkat keras, layanan, dan pada akhirnya, biaya. Pemimpin yang jelas saat ini adalah perusahaan Perusahaan Altera . Ia menaklukkan pasar tidak hanya berkat rangkaian produknya yang luas, tetapi juga karena distribusi produknya yang kompeten. Misalnya, penggunaan produk perangkat lunak (versi siswa) untuk tujuan pendidikan tidak dipungut biaya. Bagi Rusia, secara umum, hal ini tidak penting (hampir tidak ada yang pernah melihat undang-undang hak cipta), tetapi di luar negeri masalah ini relevan. Selain itu, produk-produk perusahaan benar-benar masuk ke pasar domestik - sebagai hasilnya, kita sekarang hampir semuanya menguasai pasar tersebut. DARI KATA MENJADI TINDAKAN VLSI PL dari Altera diklasifikasikan berdasarkan kriteria berikut: 1) derajat integrasi (jumlah gerbang yang tersedia (elemen 2I-NOT) dan flip-flop); 2) arsitektur konverter fungsional paling sederhana (sel); 3) organisasi struktur internal VLSI dan struktur matriks koneksi konverter fungsional (metode menghubungkan sel); 4) adanya memori RAM internal; 5) teknologi untuk pembuatan elemen yang dapat diprogram (Fuse, EPROM, EEPROM, FLASH, SRAM) - bagaimana rangkaian dikabelkan. Untuk bekerja dengan sebagian besar perusahaan BIS PL-nya mengubah menawarkan bahasa deskripsi perangkat keras MAX+plus II. Sistem otomasi desain MAX+plus II mendukung enam keluarga VLSI PL.┌─────────┬────────────────────────┐ │ │ Parameter │ │ ├─────────── ┬?? ────┼─────┼─────┤ │Klasik │ 300-900 │22-64│EPROM│ │MAX5000 │ 600-3750 │24-84│EPRO M│ │MAX7000E │ 600-5000 │ hingga 164│EEP- │ │MAX9000 │ 6e3-12e3 │hingga 216│ROM │ │FLEX8000A│ 2500-16e3│hingga 208│SRAM │ │FLEX10K │ 1e4-1e5 │hingga 406 │SRAM │ └── ───────┴─ ─────────┴─────┴─────┘Kapasitas logisnya adalah jumlah elemen 2I-NOT yang tersedia, yaitu hingga 25.000 LA3 kami dapat dimasukkan ke dalam FLEX10K. UPin - jumlah pin pengguna. Teknologi – teknologi. Penjelasan praktis untuk tabel: katakanlah kita mengambil FLEX8000A yang paling mematikan (teknologi SRAM - yaitu, setelah menyalakan daya, sirkuit perangkat harus "dituangkan" ke alternator), menggantungnya dengan kaki pengguna di bus Rodimigo spesifikasi (akan ada beberapa yang gratis tersisa), kami membuat dekoder port sederhana secara massal dan menghubungkannya ke input alterina. Apa yang kita dapatkan? Kami mendapatkan perangkat super!!! Lagi pula, sekarang Anda dapat menuangkan hampir semua skema ke dalam perubahan!!! Bisa apa saja dari alas luar. prosesor (misalkan kita memasukkan angka 16-bit byte-by-byte ke dalam satu port, angka kedua ke port lain, kode operasi di tempat lain, lalu membaca hasilnya), ke segala sesuatu yang dapat dipahami oleh pikiran (jika Anda menyodok beberapa kaki bebas "di mana seharusnya" - Anda dapat membuat pengisian perangkat keras dan konstruksi perangkat keras dari segmen, interupsi multi-saluran yang dapat diprogram (terutama relevan untuk audio digital), perintah prosesor baru (seperti pada"pelari cepat" atau register prosesor tambahan....). Anda dapat membuat pustaka fungsi perangkat keras agar tidak membuat yang besar, seperti yang masih dilakukan oleh “pembuat kode” yang keras kepala, dan membacanya sesuai dengan tugasnya. "Firmware" dari sirkuit FLEX8282A yang sama ("yang paling mematikan", lihat di atas) berbobot, jika ingatan saya benar, 6kB. Selama konfigurasi, semua kaki pengguna berada dalam status Z dan tidak memengaruhi pengoperasian sistem. Jika Anda perlu membuat perangkat “bertahan selamanya” dan tidak mengonfigurasinya setiap kali setelah menyalakannya, Anda dapat menggunakan keluarga MAX. Selain itu, beberapa LSI dari keluarga MAX memiliki perlindungan terhadap "kebocoran sirkuit" - sedikit kerahasiaan pengembangan, namun menurut saya, penggunaan keluarga ini agak terbatas (setidaknya dalam kondisi "rumah") karena kebutuhan akan programmer dan sejumlah siklus pemrograman.SEKARANG BEBERAPA KATA TENTANG LINGKUNGAN PEMBANGUNAN: DESKRIPSI PERANGKAT KERAS BAHASA MAX + PLUS II.Sistem MAX + plus II ditulis untuk platform berikut: PC, MAC, MATAHARI. Baik Speccy , untuk beberapa alasan, bahkan pacar terkenal itu tidak ada dalam daftar ini. Sistemnya sangat bagus, sederhana dan dengan kemungkinan yang luas. Komponen utamanya adalah editor grafis dan teks, kompiler, editor diagram (juga dikenal sebagai “debugger”), pengatur waktu, dan editor tata letak dan tata letak. Diagram perangkat digambar di editor grafis (jika tugas grafis digunakan). Sebagai elemen skema, Anda dapat menggunakan logika "menurut tamu borjuis", seluruh seri ke-74 ada di sana, dan jika Anda tidak menyukai sebutan musuh, Anda dapat menggambar semuanya dengan cara kami dan membuatnya berfungsi. Editor teks digunakan untuk memberikan deskripsi teks tentang perangkat keras. Bahasanya ada di antara keduanya dBase, C, Pascal . Hampir ada perintah siap pakai untuk mendeskripsikan mesin keadaan terbatas sinkron, ada juga jika dan kasus . Penambah digambarkan secara harfiah sebagai C=A+B . Kartu chip telepon dijelaskan dan diuji (lihat di bawah) dalam satu jam tanpa melelahkan. Kompiler memeriksa skema (atau teks) dan menghasilkan firmware untuk “bata” yang diberikan. Batas pengoptimalan yang dapat disesuaikan secara luas untuk kecepatan dan volume memungkinkan Anda memaksimalkan kinerja maksimum dari perangkat atau area minimum yang ditempati pada chip. Ada hal berguna yang dirancang oleh dokter. Menunjukkan di mana ada balapan dan tempat berbahaya, dan dalam kondisi apa mereka akan muncul, jangan lupa meminta untuk menekan reset untuk menginisialisasi perangkat setelah menyalakannya, dll. Di editor diagram, Anda dapat mensimulasikan pengoperasian perangkat masa depan: katakanlah Anda mengatur sinyal masukan dan melihat keluaran yang sesuai; balapan juga jangan lupa untuk ditampilkan. Oleh karena itu, sebelum merakit rangkaian, lebih baik menjalankannya dalam kondisi "maks" dan memeriksanya. Benar, ada juga bug - terbuat dari pemicu T R.S. , disinkronkan dengan perbedaan, meskipun dalam praktiknya berhasil. Melihat diagram menjadi sangat mudah: Anda dapat melihat diagram dengan menggabungkannya ke dalam kelompok dan menyajikan sistem bilangan yang mudah dilihat (biner, desimal, heksadesimal). Data masukan juga dapat ditentukan dengan kode Abu-abu . Anda juga dapat melihat status internal mesin. Pengatur waktu - juga merupakan pengatur waktu di Afrika - menunjukkan penundaan dan frekuensi pengoperasian perangkat maksimum yang mungkin. Editor tata letak dan tata letak digunakan untuk penghapusan ras "perangkat keras" (penataan ulang sel logika) dan penempatan pin khusus. harga distributor mengubah Sayangnya, saya kehilangannya, jadi saya hanya dapat mengatakan dari ingatan bahwa FLEX10K10 berharga mulai $20, FLEX8282A mulai $8, elemen keluarga MAX berharga mulai $3,3. Jika Anda tertarik di mana Anda bisa mendapatkan informasinya, Anda bisa scroll hingga akhir artikel, karena informasi selanjutnya akan menyusul.INFORMASI LEBIH LANJUT TENTANG KELUARGA Keluarga Klasik mencakup 3 seri VLSI. LSI dari keluarga ini memungkinkan untuk mengganti perangkat yang berisi 10 hingga 20 sirkuit mikro dengan tingkat integrasi sedang, dan menyediakan: * penundaan propagasi sinyal dari setiap input dan output LSI tidak lebih dari 10 ns; * operasi stabil pada frekuensi hingga 100 MHz; * kemampuan untuk bekerja dalam mode konsumsi daya rendah; * kemampuan untuk mengatur mode kerahasiaan pengembangan (rangkaian tidak dapat digabungkan kembali dari mikruhi). Catatan: sepertinya sudah dihentikan. Keluarga MAX5000 mencakup 5 seri VLSI. LSI dari keluarga ini memungkinkan untuk mengganti perangkat yang berisi hingga beberapa lusin sirkuit mikro dengan tingkat integrasi rata-rata, dan menyediakan: * penundaan propagasi sinyal dari setiap input dan output LSI tidak lebih dari 15 ns; * operasi stabil pada frekuensi hingga 76 MHz; * kemampuan untuk mengatur mode kerahasiaan pengembangan; * kemampuan untuk menggunakan tiga mode operasi buffer keluaran: input, output, dua arah. Keluarga MAX7000 mencakup 7 seri VLSI. LSI dari keluarga ini memungkinkan untuk mengganti perangkat yang berisi hingga ratusan sirkuit mikro dengan tingkat integrasi sedang, dan menyediakan: * penundaan propagasi sinyal dari input apa pun ke output LSI tidak lebih dari 5 ns; * Pengoperasian yang stabil pada frekuensi hingga 178 MHz; * kemampuan untuk mengatur kecepatan peralihan buffer keluaran; * kemungkinan menggunakan 4 mode operasi buffer keluaran: input, output, dua arah, kolektor terbuka; * kemampuan untuk mengatur mode konsumsi daya rendah baik untuk seluruh VLSI secara keseluruhan dan untuk sirkuit propagasi sinyal individual; * kemungkinan pemrograman dan pemrograman ulang setelah pengkabelan di papan; * kemampuan untuk mengatur mode kerahasiaan pengembangan; * bekerja dengan tegangan suplai yang dikurangi (3,3 V). Catatan: sejumlah seri mematuhi standar bus PCI. Keluarga FLEX8000A mencakup 7 seri VLSI. LSI dari keluarga ini memungkinkan untuk menggantikan perangkat yang menempati lusinan papan yang dibuat pada sirkuit mikro dengan tingkat integrasi sedang, dan memberikan kemampuan untuk: * operasi yang stabil pada frekuensi hingga 294 MHz; * emulasi bus internal dengan 3 status; * bekerja dengan tegangan suplai yang dikurangi (3,3 V); * bekerja dalam sistem dengan tegangan suplai campuran (3,3 V, 5 V); * implementasi siklus konfigurasi dalam jumlah tidak terbatas, termasuk "on the fly", mis. tanpa mematikan catu daya VLSI; * pengaturan kecepatan peralihan buffer keluaran; * kemungkinan menggunakan 3 mode operasi buffer keluaran: input, output, dua arah. Catatan: semua VLSI dalam seri ini mematuhi standar bus PCI. Keluarga MAX9000 mencakup 4 seri chip. LSI dari keluarga ini memungkinkan untuk menggantikan perangkat yang menempati lusinan papan yang dibuat pada sirkuit mikro dengan tingkat integrasi sedang dan memberikan kemampuan untuk: * operasi yang stabil pada frekuensi hingga 125 MHz; * penggunaan independen bagian logis dan pemicu sel makro; * kemampuan untuk mengatur mode konsumsi daya rendah baik untuk seluruh VLSI secara keseluruhan dan untuk sirkuit propagasi sinyal individual; * kemungkinan pemrograman dan pemrograman ulang setelah pengkabelan di papan; * bekerja dalam sistem dengan tegangan suplai campuran (3,3 V, 5 V); * pengaturan kecepatan peralihan buffer keluaran; * penggunaan 3 mode operasi buffer keluaran: input, output, dua arah. Catatan: sejumlah seri mematuhi standar bus PCI. Keluarga FLEX10K mencakup 7 seri VLSI. LSI dari keluarga ini memungkinkan untuk menggantikan perangkat yang menempati ratusan papan yang dibuat pada sirkuit mikro dengan tingkat integrasi sedang, dan memberikan kemampuan untuk: * operasi yang stabil pada frekuensi hingga 450 MHz; * Implementasi on-chip memori statis dan ROM dengan kapasitas hingga 24 Kbit; * penggunaan independen bagian logis dan pemicu setiap elemen logis; * emulasi bus internal dengan 3 status; * mengalikan frekuensi jam internal; * bekerja dalam sistem dengan tegangan suplai campuran (3,3 V, 5 V); * implementasi siklus konfigurasi dalam jumlah tidak terbatas, termasuk "on the fly", mis. tanpa mematikan catu daya VLSI; * pengaturan kecepatan peralihan buffer keluaran; * kemungkinan menggunakan 4 mode operasi buffer keluaran: input, output, dua arah, kolektor terbuka. Catatan: semua VLSI dalam seri ini mematuhi standar bus PCI. Itu dia. Saya hanya akan menambahkan bahwa kemajuan tidak berhenti dan lebih banyak seri telah ditambahkan ke setiap keluarga. PENAMPILAN WWW.ALTERA.COM - disana anda bisa mendapatkan informasi lebih detail dan kunci elektronik program MAX + Plus II (berpura-pura menjadi mahasiswa atau peneliti).perusahaan EFO. Surel: [dilindungi email] Telp. (812) 247-5340 194021 St.Petersburg, Jalan Politekhnicheskaya, 21(di sini Anda dapat membeli elemen yang sesuai).SASTRA 1. Tinjauan basis elemen ALTERA (Antonov A.P., Melekhin V.F., Fillipov A.S.; St. Petersburg 1997) 2. Buku yang sama (hanya saja tampak lebih besar) ada dalam bentuk compact dengan MAX + Plus II dalam video.PDF (tampaknya 45 MB), meskipun dalam bahasa Inggris.

Bagi sebagian besar amatir radio dan desainer yang terlibat dalam pengembangan mereka sendiri, sirkuit mikro digital telah lama dikenal dan dikuasai. Banyak orang telah lama merancang desain mikrokontroler karena hal ini secara signifikan mengurangi dimensi dan meningkatkan fungsionalitas perangkat. Satu-satunya masalah adalah tidak semuanya dapat dibangun berdasarkan pengontrol. Perangkat berkecepatan tinggi yang memerlukan respons instan terhadap perubahan sinyal input tidak dapat dibangun di atas mikrokontroler, karena mikrokontroler mengatur pemrosesan perintah langkah demi langkah (pipa), sebagai akibatnya keputusan dibuat untuk mengeluarkan sinyal tertentu. Oleh karena itu, bersama dengan mikrokontroler, perlu menggunakan logika konvensional, terkadang dengan jumlah paket yang cukup. Konsekuensi dari hal ini adalah banyaknya sambungan eksternal, kompleksitas desain dan dimensi besar papan sirkuit tercetak, panjang konduktor penghubung yang besar, kesulitan dalam membuat perangkat dengan frekuensi clock tinggi, dan keandalan yang rendah. Solusi untuk masalah ini adalah penggunaan sirkuit terintegrasi logika yang dapat diprogram (FPGA), yang merupakan kumpulan sejumlah elemen dasar fungsional tertentu yang tidak memiliki sambungan listrik keras satu sama lain, yang memungkinkan Anda untuk mengatur konfigurasi yang hampir berubah-ubah di untuk membuat rangkaian elektronik tertentu. Pada tahap pertama, FPGA adalah sirkuit mikro dengan jumper yang menyatu seperti K556RT4-RT5 dan lainnya, beberapa fungsi dapat dibuat di dalamnya, tetapi tidak mungkin untuk menjejali sirkuit kecil dengan penghitung dan pemicu.

Tahapan perancangan perangkat berdasarkan logika sederhana tidak terotomatisasi dengan baik dan jika terjadi kesalahan, papan perlu dimodifikasi untuk memasukkan elemen tambahan. Mempelajari paket perangkat lunak MAX+plus II BASELINE dan FPGA dari Altera tidak hanya akan menyederhanakan rangkaian tetapi juga menghindari banyak kesalahan. Software ini juga dapat digunakan saat mendesain rangkaian pada seri 155 dan analognya. Untuk pemrograman (membuat koneksi) di PLD (serta

CPLD) Teknologi EPROM, EEPROM dan FLASH digunakan. Untuk amatir radio, sirkuit mikro FLASH adalah yang paling menarik karena memungkinkan Anda memprogram ulang kristal sekitar 100 kali dan, setelah menghilangkan tegangan suplai, mempertahankan struktur yang terbentuk sebagai hasil pemrograman.

Artikel ini akan membahas langkah demi langkah pengembangan rangkaian berbasis FPGA dari Altera - EPM3064A sebagai yang termurah. Itu ditempatkan dalam paket PLCC 44-pin. Sirkuit mikro memiliki catu daya campuran dan antarmuka input/output multivoltase (I/O). Tegangan suplai inti logis adalah 3,3 volt, elemen input/output – 5; 3.3; dan 2,5 volt. Frekuensi clock maksimum 227,3 MHz tergantung modifikasi. Dengan mengatur bit konfigurasi, kemiringan tepi dapat dikontrol ( MembunuhKecepatan) sinyal masukan/keluaran. Ada juga implementasi keluaran open drain dengan mengatur bit ( MembukaMengeringkan). Dimungkinkan untuk mengatur mode hemat energi yang mengurangi konsumsi daya lebih dari 50%. Dimungkinkan juga untuk mengatur bit privasi ( keamanansedikit) yang tidak memungkinkan Anda menyalin konfigurasi internal chip. Sirkuit mikro ini memiliki 4 blok matriks logis dan 64 sel makro. Pemicu sel makro yang dapat diprogram memiliki input jam tersendiri ( jam), izin sinkronisasi ( jammemungkinkan), mengatur ulang ( jernih), dan preset ( telah ditentukan sebelumnya). Setiap sel makro dapat dianggap sebagai matriks yang dapat diprogram DAN dan matriks tetap ATAU. Pada keluaran matriks ATAU Register dengan sirkuit kontrol yang dapat dikonfigurasi disertakan. Sirkuit kontrol register menyediakan jam yang dapat diprogram secara independen, pengaktifan jam, reset, dan sinyal preset. Menurut penulis, 10-15 155 casing seri dapat dimasukkan ke dalam sirkuit mikro ini (sirkuit mikro EPM3256 dengan 16 blok matriks logika dan 158 pin tersedia untuk dijual).

Arsitektur EPM3064A mencakup elemen berikut: Blok logika ( LAB). sel makro ( sel makro). Ekspander logika, dibagikan ( Dapat dibagikan) dan paralel ( Paralel). Matriks koneksi yang dapat diprogram ( PIA). Unit Kontrol I/O ( SAYA/HAIkontrolblok). Chip ini memiliki empat input khusus ( berdedikasipin) yang dapat digunakan sebagai input tujuan umum atau input kontrol global berkecepatan tinggi (sinkronisasi - jam, memusatkan perhatian jernih dan dua sinyal izin keluaran - memungkinkan), untuk setiap sel makro dan pin I/O. Diagram blok ditunjukkan pada Gambar.1.

Gambar 1

Arsitektur inti EPM 3064A adalah blok logis, terdiri dari 16 makrosel. Blok logika dihubungkan bersama menggunakan matriks koneksi yang dapat diprogram ( PIA). Sinyal berikut disuplai ke setiap blok logis: 36 sinyal dari PIA, digunakan sebagai input logika. Sinyal kendali global. Sirkuit langsung dari buffer input ke register, memberikan kinerja tinggi. Anda dapat membaca lebih lanjut tentang komposisi fungsional dan prinsip pengoperasian sirkuit mikro di.

GAMBAR 2

Untuk memprogram chip, Anda perlu membuat kabel boot khusus ByteBlasterMV Gambar2. Ini memungkinkan Anda dengan cepat dan efisien mengubah konfigurasi FPGA baik pada tahap pengujian proyek maupun selama pengoperasian. Pemrograman ulang dapat dilakukan langsung di sistem. Saat pemrograman sedang berlangsung, pin dari sirkuit mikro dipindahkan ke status ketiga untuk menghindari konflik dengan sistem. Resistansi resistor pull-up internal adalah 50 kOhm.

Kabel download ini dapat digunakan untuk memprogram rangkaian mikro seri MAX 3000A, MAX 7000, MAX 9000 dan masih banyak lainnya, selengkapnya dapat anda baca di. Diagram kabel unduhan ditunjukkan pada Gambar 3. Konektor X1 dihubungkan langsung ke port paralel komputer atau melalui kabel ekstensi, dan panjangnya tidak boleh melebihi 1,5 meter. Daya untuk pemrogram diambil langsung dari sirkuit perangkat yang dirancang. Untuk pengoperasian normal programmer, Anda harus menginstal driver ByteBlasterMV yang akan dijelaskan di bawah ini. Dianjurkan untuk menggunakan chip D 1 asli karena dapat beroperasi pada kisaran tegangan suplai 2,5 hingga 5 volt (dalam kasus ekstrim, dapat diganti dengan 1564AP5). Semua resistor bertipe MLT 0,125. Kapasitor C1 terbuat dari keramik.

GAMBAR 3

Papan sirkuit tercetak Gambar4. terbuat dari satu sisi menggagalkan fiberglass tebal 1,5mm dan ukuran 52x43mm.

GAMBAR 4

Untuk membuat proyek di lingkungan sistem MAX+PLUS II dari ALTERA, Anda perlu mengunduh dari Internet dan menginstal Fig5. file yang dapat didistribusikan ulang secara bebas garis dasar10_1.exe Tautan ke situs diberikan dalam . Setelah menginstal program untuk fungsionalitas penuh, Anda harus mengunjungi situs web Altera dan mendapatkan file lisensi. Di halaman ini pilih tautannya Perangkat lunak MAX+PLUS II untuk pelajar & universitas. Di halaman berikutnya pilih tautan Versi 10.2, 10.1,atau 9.23 dan tekan tombolnya melanjutkan. Di halaman berikutnya di jendela, masukkan nomor registrasi hard drive Anda. Untuk melakukan ini di Windows, tekan tombol MULAI kalau begitu Program, Aksesori, baris perintah, dan tekan perintah dir Dan memasuki.

Gambar 5

Baris kedua akan mencetak nomor seri disk 8 digit. Inilah yang perlu dimasukkan. Selanjutnya tekan tombol melanjutkan dan isi formulir di halaman berikutnya. Ketika Anda selesai mengisi formulir, klik tombol melanjutkan. File lisensi akan dikirimkan kepada Anda melalui surat. Buat folder c:\mp2siswa\ dan letakkan file yang dikirimkan kepada Anda di sana lisensi.dat. Untuk menginstal file lisensi, jalankan MAKS+lebihII 10.1 DASAR lalu klik tabnya Pilihan Dan LisensiMempersiapkan di jendela yang muncul, klik tombol Jelajahi, dan tentukan jalur ke file lisensi. Klik tombolnya OKE. Setelah ini, semua aplikasi akan tersedia. Setelah ini, Anda perlu menginstal file driver programmer. Untuk melakukan ini, tekan tombol AWAL Kemudian Pengaturan, panel kontrol, instalasi peralatanLebih jauh lalu tombolnya Dah, perangkat sudah terhubung dan sebuah tombol Lebih jauh. Di jendela berikutnya pilih Menambahkan perangkat baru Dan Dgang. Pasang tombolnya Memasang peralatan yang dipilih dari daftar secara manual Dan Dgang. Pilih dari daftar Suara, perangkat video dan game Dan Dgang. tekan tombolnya kamuinstal dari disk, lalu tombol Tinjauan. Menetapkan jalan C:\maxplus2\Drivers\win2000 jika Anda telah menginstal WindowsXP lalu klik OKE. Pilih dari daftar mengubah ByteBlaster, tombol Lebih jauh Dan Siap.

Sistem MAX+PLUS II memiliki alat untuk memudahkan input proyek, kompilasi dan debugging, serta pemrograman perangkat langsung. Perangkat lunak sistem MAX+PLUS II berisi 11 aplikasi dan program kontrol utama. Berbagai aplikasi yang mendukung pembuatan proyek dapat diaktifkan secara instan, memungkinkan pengguna untuk beralih di antara aplikasi tersebut dengan klik mouse atau perintah menu. Dalam hal ini, salah satu aplikasi latar belakang, misalnya kompiler, simulator, penganalisis sinkronisasi, dan pemrogram, dapat berjalan.

Tabel ini menjelaskan aplikasinya.

Meja

Aplikasi Fungsi dilakukan
HirarkiMenampilkan Ikhtisar hierarki— menampilkan struktur file hierarki saat ini dalam bentuk pohon dengan cabang yang mewakili subproyek.
GrafisEditor Editor grafis— memungkinkan Anda mengembangkan proyek logika rangkaian dalam format tampilan nyata di layar.
SimbolEditor Editor karakter— memungkinkan Anda mengedit simbol yang ada dan membuat simbol baru.
TeksEditor Penyunting teks— memungkinkan Anda membuat dan mengedit file teks desain logis yang ditulis dalam AHDL, VHDL, Verilog HDL.
Bentuk gelombangEditor Editor sinyal— melakukan fungsi ganda: alat untuk pengembangan desain dan alat untuk memasukkan vektor uji dan mengamati hasil pengujian.
Rencana denahEditor Tingkat demi tingkatpenjadwal— memungkinkan Anda membuat penetapan ke kontak perangkat dan sumber daya elemen logis menggunakan cara grafis.
Penyusun Penyusun— memproses proyek grafis.
Simulator Simulator— memungkinkan Anda menguji operasi logis dan sinkronisasi internal dari rangkaian logis yang dirancang.
Waktupenganalisa Penganalisa waktu— menganalisis pengoperasian rangkaian logika yang dirancang setelah disintesis dan dioptimalkan oleh kompiler.
Programmer Programmer— memungkinkan Anda memprogram, mengonfigurasi, memverifikasi, dan menguji FPGA ALTERA.
PesanProsesor Pembuat pesan— menampilkan pesan kesalahan, peringatan, dan informasi di layar.

Mari kita buat direktori kerja di mana kita akan menempatkan proyek kita C:\ALTERA_WORK\schetchic. Rangkaian dapat digambarkan dalam AHDL, VHDL, Verilog HDL (bagi yang lebih menyukai pemrograman) atau secara grafis (lebih cocok untuk amatir radio). File proyek adalah file grafik, teks atau sinyal yang dibuat menggunakan editor grafik atau sinyal dari sistem MAX+PLUS II. File ini berisi logika untuk proyek MAX+PLUS II dan dikompilasi oleh kompiler. Kompiler dapat secara otomatis memproses file proyek berikut: file proyek grafis ( .gdf); file teks proyek dalam bahasa AHDL ( .tdf); file sinyal proyek ( .wdf); file proyek dalam bahasa VHDL ( .vhd); file proyek dalam bahasa Verilog ( .v); File skema OrCAD ( .sch); File masukan EDIF ( edf); memformat file XilinxDaftar Net (.xnf); file proyek mengubah (.adf); file mesin digital ( .smf). File tambahan adalah file yang terkait dengan proyek MAX+PLUS II, namun bukan bagian dari pohon hierarkinya. Sebagian besar file ini tidak berisi logika proyek. Beberapa di antaranya dibuat secara otomatis oleh aplikasi sistem MAX+PLUS II, yang lainnya oleh pengguna. Contoh file pendukung adalah file penugasan dan konfigurasi ( .acf), file simbol ( .sym), file laporan ( .rpt) dan uji file vektor ( .vec).

Jadi di menu utama klik Mengajukan Kemudian Baru dan pilih itemnya Grafis Editor mengajukan Dan OKE gambar6. Jendela editor grafis akan terbuka. Selanjutnya, mari simpan proyek kita dengan nama skematis. gdf ke katalog kami C:\ALTERA_WORK\ untuk melakukan ini, klik Mengajukan Kemudian Menyimpan Sebagai Dan OKE. Mari ikat nama file ke file proyek; untuk ini, di menu utama, klik Mengajukan Kemudian Proyek dan seterusnya Mengatur Proyek ke Saat ini Mengajukan atau sebagai gantinya Anda dapat menekan tombol secara bersamaan Ctrl+ Menggeser+ J. Mari kita tentukan jenis sirkuit mikro yang akan digunakan dalam proyek. Untuk melakukan ini, tekan tombol di menu utama Menetapkan Kemudian Perangkat.

Di jendela yang muncul, di baris Perangkat Keluarga pilih satu seri MAKS3000 A. Dan di jendela Perangkat EPM3064ALC44-4 dan OKE. Jika Anda tidak tahu berapa banyak ruang yang dibutuhkan proyek Anda, maka Perangkat lebih baik menginstal MOBIL, kompiler itu sendiri akan memilih jenis sirkuit mikro.

Gambar 6

Perpustakaan kerja berisi elemen dari berbagai jenis:

1). Elemen primitif logis (terletak di folder c:\maxplus2\max2lib\prim\) seperti Dan, atau, juga bukan dll. dengan jumlah input yang berbeda.

2). Analog logika diskrit seri ke-74 adalah analog dari seri ke-155 (terletak di folder c:\maxplus2\max2lib\mf\).

3). Fungsi logis berparameter yang memungkinkan Anda membuat proyek perangkat digital dengan kompleksitas apa pun (terletak di folder c:\maxplus2\max2lib\mega_lpm\).

Mari kita buat direktori di mana kita akan menempatkan perpustakaan komponen kita sendiri C:\ALTERA_WORK\Altera_Lib dan menghubungkannya ke proyek. Untuk melakukan ini, tekan tombol di menu utama Pilihan Kemudian PenggunaPerpustakaan dan keluar jendela DirektoriNama ayo masuk ke jalannya c:\altera_work\altera_lib Kemudian OKE. Ada dua cara untuk menempatkan simbol di layar; untuk melakukannya, klik kanan di tempat kita ingin meletakkan elemen dan pilih menu di jendela yang muncul Masukkan Simbolaku di jendela SimbolNama di jendela yang muncul, ketikkan nama elemen dan klik OKE. Atau pilih perpustakaan yang diperlukan di jendela SimbolPerpustakaan kotak dialog MemasukiSimbol dan klik dua kali tombol kiri mouse untuk membukanya. Kemudian pilih elemen yang diperlukan di jendela dengan cara yang sama SimbolMengajukan. Jika proyeknya kecil dan Anda puas dengan semua elemen di perpustakaan, maka dengan cara ini kami memasang semua elemen yang diperlukan dan menghubungkan pinnya menggunakan konduktor. Untuk melakukan ini, di editor grafis di sebelah kiri ada ikon, ketika Anda mengkliknya, Anda bisa mendapatkan koneksi garis lurus, garis ditempatkan di sudut kanan, sektor dan lingkaran. Jika garis disorot dengan warna merah, maka Anda bisa masukkan alamat koneksi di dalamnya dan jangan membuat koneksi itu sendiri. Sirkuit input dan output diambil dengan memilihnya dari perpustakaan elemen memasukkan Dan keluaran( jika perlu, kami memberi mereka nama). Untuk memplot logika satu dan nol, ketik vcc atau gnd. Dan jika Anda memerlukan simbol Anda sendiri, maka Anda perlu membuka jendela baru editor grafis dan menggambar diagram komponen Gambar7, beri nama dan simpan di perpustakaan c:\altera_work\altera_lib. Tautan ke file proyek dan kompilasi dengan mengklik ctrl+L. Jika tidak ada kesalahan, maka Anda dapat memeriksa pengoperasian rangkaian menggunakan simulator.

Gambar 7

Untuk melakukan ini kami membuka Bentuk gelombang Editor, simpan file dengan nama yang sama. Pada baris pertama di bawah Nama: klik kanan dan pilih menu MemasukinodedariSNF di jendela yang muncul, klik tombol Daftar di jendela TersediaNode &Grup semua input dan output dari rangkaian kita akan muncul. Kami memilih yang diperlukan (dalam hal ini DI DALAM Dan KELUAR) Dan tekan tombolnya => karakter yang dipilih akan disalin di jendela kanan. Klik OKE. Di editor Anda dapat melihat osilogram Gambar.8. Atas nama Nilai Bisa atur keadaan awal sinyal input; untuk ini, klik tombol kiri mouse pada baris di sebelah kiri, ikon logika 1 dan 0 ditampilkan di editor, dengan mengklik ikon tersebut kami menetapkan status input. Anda dapat memasukkan sinyal yang bervariasi terhadap waktu atau Z negara. Waktu berakhirnya osilogram dapat dimasukkan dengan masuk ke menu Mengajukan Kemudian AkhirWaktu. Stempel waktu diatur melalui menu Pilihan Kemudian Belalang Ukuran.

Untuk melihat keadaan osilogram dari waktu ke waktu, jalankan Simulator menekan tombol Ctrl+Pergeseran+L. Osilogramnya dapat dilihat pada Gambar 9. Jika kita puas dengan model komponen yang dihasilkan, kita akan menggambar simbolnya. Untuk melakukan ini, buka editor simbol, di menu utama klik Mengajukan Kemudian Baru dan pilih itemnya SimbolEditor mengajukan Dan OKE gambar6. Dengan mengklik tombol kiri mouse, kita menggambar garis besar simbol dengan terlebih dahulu memilih alat menggambar di sebelah kiri ikon.

Klik dua kali tombol kiri mouse buka menunya MemasukiPinstub di sebelah kiri di jendela yang kami tunjukkan jenis keluaran masukan atau keluaran SAYA/ HAIJenis. Di jendela PenuhPinstub Nama Tentukan nama keluaran (dalam kasus kami DI DALAM pintu masuk KELUAR KELUAR). Ukuran semua garis dan label dapat diubah dan dipindahkan dengan mengklik kiri pada elemen yang dipindahkan. File tersebut harus disimpan dengan nama yang sama dengan file editor grafis di folder perpustakaan kami.

Setelah menutup semua jendela tambahan, kita dapat memasukkan simbol ke dalam file proyek kita.

Mari kita perhatikan rangkaian praktis pencacah tiga digit dengan indikasi dinamis Gambar 10. Catu daya dipasang pada chip D 2 tipe LM 317 dan menyediakan tegangan yang diperlukan untuk D 1.

Gambar 10

Arus keluaran pelepasan indikator bisa sekitar 80 mA dengan semua indikator menyala, oleh karena itu pelepasan indikator dialihkan oleh transistor VT 1-VT 3. Untuk model eksperimental, rangkaian generator internal dipilih, rangkaian eksternalnya adalah resistor R 16, R 18, C 2, tetapi dalam skema kerja, lebih baik membuat generator eksternal. Menurut penulis, FPGA tidak bekerja dengan baik dengan beban kapasitif. Konektor X1 diperlukan untuk koneksi ke programmer.

Mari kita pertimbangkan struktur internal proyek Gambar 11. Semua komponen dibuat baru menggunakan metode di atas dan disimpan di perpustakaan komponennya sendiri.

Gambar 11

Komponen pada diagram 0_3r_commutator– ini adalah saklar tiga digit yang mengganti digit indikator secara bergantian dan juga mengeluarkan level kontrol ke multiplexer 0_3 Dan_ atau. Multiplexer menghubungkan penghitung desimal tergantung pada digit yang ditampilkan 0_2 B_10 D_ menangkal Ke binertujuh segmen dekoder 0_ bcd _7 segmen . Nama-nama komponen mengandung preposisi 0 _ yang kami tunjukkan sebagai elemen perpustakaan kami sendiri. Pada diagram di proyek MAX+PLUS II, mengklik dua kali tombol kiri mouse pada komponen akan membuka editor grafis dan kita akan melihat diagram elemen. Gambar 12 menunjukkan sebuah saklar yang rangkaiannya terdiri dari primitif perpustakaan standar. Nama BUKAN berarti inverter, DFFD pemicu, DAN2 – elemen DAN dengan dua pintu masuk. Gambar 13 menunjukkan diagram internal biner penghitung desimal. Gambar 14 menunjukkan multiplexer. Dan nasi 15 binertujuh segmen dekoder. Di bawah ini adalah daftar beberapa megafungsi perpustakaan standar.

Gambar 12

Gambar 13

GAMBAR 14

Gerbang logika (Gerbang):

lpm_and – elemen AND

lpm_inv – BUKAN elemen (inverter)

lpm_bustri – bus tiga negara

lpm_mux - multiplekser

lpm_clshift - pergeseran logis

lpm_or – elemen OR

lpm_constant - konstan

lpm_xor – Elemen OR eksklusif

lpm_decode - dekoder

mux – multiplekser

busmux - multiplekser

GAMBAR 15

Komponen aritmatika:

membagi* — pembagi

lpm_compare - pembanding

lpm_abs – nilai absolut

lpm_counter - penghitung

lpm_add_sub – penambah/pengurang

lpm_divide — pembagi

lpm_mult — pengganda

Elemen memori:

altdpram* - RAM port ganda

lpm_latch – register kait

lpm_shiftreg – register geser

dcfifo* — FIFO Jam Ganda

lpm_ram_dp - RAM port ganda

scfifo* — FIFO Jam Tunggal

lpm_ram_dq – RAM dengan port input dan output terpisah

csdpram - Port Ganda Berbagi Siklus

lpm_ram_io - RAM dengan port input dan output yang sama

lpm_ff — Pemicu

lpm_rom - ROM

lpm_fifo — FIFO Jam Tunggal

lpm_dff* — D – flip-flop dan register geser

lpm_fifo_dc — FIFO Jam Ganda

lpm_tff* – Pemicu-T

Fitur lainnya:

clklock - PLL (Fase-Terkunci Loop)

pll – detektor tepi pulsa

ntsc – generator sinyal video NTSC

Setelah semua komponen dibuat, diagram umum proyek telah digambar dan semua koneksi telah dibuat, Anda perlu menyimpan proyek dan mengkompilasinya. Jika tidak ada kesalahan, Anda harus melakukannya pergi ke Bentuk gelombang Editor dan bagaimana hal itu dipertimbangkan Di atas, pastikan proyek berfungsi dengan benar Gambar.16.

GAMBAR 16

Rangkaian yang dirutekan oleh kompiler secara otomatis menetapkan pin input dan output; ini dapat dilihat pada Editor Denah Lantai dengan menekan tombol. Di editor yang sama, Anda dapat mengubah tujuan kaki sesuai keinginan. Untuk melakukan ini, klik tombol dan seret nama pin yang ditampilkan di jendela dengan mouse Belum ditetapkanNode & Pin, ke nomor pin FPGA yang sesuai, lalu kompilasi ulang proyek. Setelah ini, segala perubahan dilakukan pada struktur internal proyek, mis. tidak terkait dengan penambahan atau penghapusan pin tidak akan mengubah penetapan pin.

Setelah ini, kami menghubungkan rangkaian Gambar10 melalui konektor X1 ke pemrogram, dan pemrogram ke komputer (kami melakukan semua prosedur ini dengan komputer dimatikan) dan menyuplai daya ke rangkaian. Membuka jendela Programmer dan tekan tombolnya Program. Setelah program dimuat, rangkaian akan beralih ke mode operasi. Metode ini memungkinkan Anda mengubah sirkuit internal perangkat tergantung pada perubahan tugas tanpa mengubah papan sirkuit tercetak.

Terlepas dari kompleksitas yang tampak dalam mempelajari lingkungan perangkat lunak MAX+PLUS II, Anda akan dapat membuat proyek lebih cepat, karena perancangan dan debugging pada komputer memberikan lebih banyak informasi daripada membuat sirkuit pada papan tempat memotong roti dan penelitian lebih lanjut menggunakan osiloskop. Seperti disebutkan sebelumnya, hasil yang diperoleh dapat berhasil digunakan dalam pembuatan struktur berdasarkan seri 155-555.