Altera pls üçün layihəni necə hazırlamaq və yükləmək olar. Biz şirkətdən proqramlaşdırıla bilən məntiq matrislərini mənimsəyirik - Quartus II layihəsində altera Pin tapşırıqları




GİRİŞ

Adətən, kimsə işlək lövhəni görəndə həmişə eyni sualları verir: - Bu Arduinodur? - Arduino olmadan necə işləyir?

Bu mikrokontroller deyil, hətta prosessor da deyil, FPGA-dır. FPGA proqramlaşdırıla bilən məntiq inteqral sxemidir, belə bir mikrosxem çoxlu eyni bloklardan və ya makrosellərdən ibarətdir, hər bir istehsalçı onları fərqli adlandırır, buna görə Xilinx üçün Altera - LogicElements (məntiqi elementlər) üçün Dilimlər (dilimlər). Bu bloklar nisbətən sadədir, onlar bir neçə məntiqi element kimi çıxış edə bilər, kiçik axtarış cədvəli (LUT) ola bilər, hazır toplayıcı, çarpan, rəqəmsal siqnal emalı (DSP) blokunu, ümumiyyətlə, istehsalçının fikrində olan hər şeyi ehtiva edir. . İstifadəçi bu blokları istədiyi kimi təşkil edə və konfiqurasiya edə bilər, bununla da kifayət qədər mürəkkəb rəqəmsal sxemləri həyata keçirə bilər. Siz hətta bir FPGA-da mikrokontroller tətbiq edə bilərsiniz, məsələn, eyni Arduino və ya öz arxitekturanızın prosessorunu tətbiq etməklə, məsələn, registr prosessoru deyil, yığın prosessoru, hətta FPGA-da öz FPGA-nı həyata keçirə bilərsiniz!

Mövcud büdcəli FPGA-lar arasında iki əsas istehsalçını ayırd etmək olar: Altera və Xilinx; onların məhsulları ilə siz bu texnologiyaların mənimsənilməsi səyahətinizə başlaya bilərsiniz. Fikrimcə, Altera çiplərini seçmək daha yaxşıdır, çünki onların dizayn mühiti daim yenilənir və bir məşhur Xilinx XC3S500E çipini seçsəniz, köhnəlmiş ISE 14.7 mühiti ilə kifayətlənməli olacaqsınız (baxmayaraq ki, onun üstünlükləri də var) .

Xarici ədəbiyyatda siz FPGA-lar üçün qısaltmalar tapa bilərsiniz: FPGA və CPLD. CPLD (Complex Programmable Logic Device) - az sayda makrosellər, ixtisaslaşmış bloklar və aşağı enerji istehlakı olan çiplər. Bu tip çip üçün böyük bir layihə sintez edilə bilməz, lakin onlar praktikada da istifadə olunur, məsələn, nadir bir mikrokontrolörün, məsələn, 300 ayağı var. Belə çiplər tez-tez interfeys sistemləri, preprosessorlar və giriş/çıxış genişləndiriciləri kimi istifadə olunur. FPGA (Field-Programmable Gate Array) sahədə proqramlaşdırıla bilən qapı massividir (FPGA), CPLD ilə müqayisədə daha güclü çiplərdir, lakin daha çox enerji istehlak edir və əhəmiyyətli dərəcədə baha başa gəlir. Ölçü sahəsinin dəyərini azaltmaq üçün FPGA çipləri rəqəmsal siqnal emal bölmələri (DSP vahidləri), quraşdırılmış prosessorlar və quraşdırılmış yaddaş kimi hazır funksiyaları ehtiva edə bilər. FPGA-lar, pre-silikon doğrulama adlanan dizaynların sınaqdan keçirilməsi və yoxlanılması üçün geniş istifadə olunur, beləliklə, məhsul buraxılmazdan əvvəl xərcləri və vaxtı azaldır. FPGA çipləri demək olar ki, istənilən vaxt yenidən konfiqurasiya edilə bilər; məsələn, Intel-də adi prosessor və FPGA çipinin arxitekturasını birləşdirmək üçün inkişaflar davam edir. Xilinx-in artıq belə həlləri var - Zynq, lakin hələlik onlar haqqında danışmayacağıq.

Daha sadə şeylər haqqında danışacağıq, xüsusən də mənim əllərim bir inkişaf dəsti əldə etdiyim üçün: AliExpress-də 35 dollara alınan Cyclone IV 4 FPGA Core Board və Altera USB Blaster Downloader PLD İnkişaf dəsti.

1 Demo lövhəsi Cyclone IV 4 FPGA Core Board, qısa təsvir

düyü. 1 - Demo ödəniş Cyclone IV 4 FPGA Core Board

Lövhədə (Şəkil 1) EP4CE6E22C8N çipi quraşdırılıb, onun xüsusiyyətləri:

Resurs növü Qısa Təsvir Kəmiyyət
Məntiq elementləri (Az) Məntiqi blokların sayı - hüceyrələr, çiplərin "gücünü" müqayisə edə biləcəyimiz əsas xüsusiyyət; hər hansı sintez edilmiş məntiq bu bloklardan istifadə edəcəkdir 6,272
Daxili yaddaş (Kbit) Quraşdırılmış yaddaş hələ bizim üçün vacib bir xüsusiyyət deyil 270
Daxili 18x18 çarpanları Rəqəmsal siqnal emalında çox vacib parametr olan quraşdırılmış aparat çarpanları, bir neçə çarpan paralel işlədikdə FPGA-ların tam gücü aşkarlanır. 15
Ümumi təyinatlı PLL-lər Faza kilidli döngə qovşaqları, hələ vacib bir xüsusiyyət deyil, müxtəlif tezliklərdə işləyən cihazların sinxronizasiyasını asanlaşdırır 2
Qlobal Saat Şəbəkələri Tezlik domenlərinin sayı hələ vacib bir xüsusiyyət deyil 10
İstifadəçi giriş/çıxış bankları Ayrı-ayrı istifadəçi giriş/çıxış banklarının sayı hələ vacib xarakteristikası deyil 8
Maksimum istifadəçi I/O Xüsusi I/O pinlərinin sayı, biz Arduino kimi istənilən cihazı birləşdirə bilərik 91

Şurada var:

  1. Fləş Yaddaş - Güc tətbiq edildikdə, FPGA flash yaddaşda həyata keçirilmək üçün konfiqurasiya ediləcək. Siz öz layihənizi sintez edib bu flasha yaza bilərsiniz
  2. 25 MHz kvars bir istinad tezliyi generatorudur, bütün layihələrimiz bu tezlikdə işləyəcək, FPGA-mız 10-a qədər belə kanalı dəstəkləyə bilər.
  3. LED - 10, düymələr - 2.
  4. Giriş/çıxış pinləri 61 + 2 torpaq, 1 qoşulmamışdır. Müxtəlif cihazları birləşdirə bilərsiniz. DAC-ADC, akselerometrlər və giroskoplar, xarakter və qrafik displeylər, Arduino kimi.
  5. USB və ya xarici 5V vasitəsilə enerji təchizatı.

Kitə həmçinin JTAG proqramçısı, Altera USB Blaster daxildir.

2. Quartus II mühiti və ilk layihəmiz

Bu FPGA ilə işləmək üçün rəsmi inkişaf mühitini endirməliyik - Quartus II Veb Nəşri, pulsuzdur. Biz http://dl.altera.com/15.0/?edition=web rəsmi saytına gedirik, yazarkən 15.0 versiyası ən yenidir, daha yenidirsə, onu yükləyin.

Seçin:


düyü. 2 - lazımi Altera məhsullarını seçin

Və yükləmə düyməsini basın (Seçilmiş Faylları Yükləyin). Bundan sonra bizdən qeydiyyatdan keçmək, qeydiyyatdan keçmək, yükləmək və quraşdırmaq tələb olunacaq.

Gəlin ilk layihəmizi yaradaq.

Quartus-u işə salın, əsas menyunu seçin Fayl -> Yeni Layihə Sihirbazı, giriş pəncərəsi görünür, növbəti klikləyin. Sonra layihə üçün kataloqu və onun adını göstərməlisiniz, üçüncü sahə yuxarı modulun adıdır, bunun nə olduğunu daha sonra görəcəksiniz. Layihəni saxlamaq istədiyimiz qovluğu seçib ad tapırıq, məndə test1 var.


düyü. 3 - yeni layihə sehrbazı pəncərəsi

Sonrakı düyməsini basın, bizdən bunun boş layihə və ya Layihə şablonu olması soruşulur. Boş buraxın, növbəti. Sonra bizdən mövcud faylları əlavə etməyi tələb edirlər, bizdə heç bir şey yoxdur, çünki səyahətimizə yeni başlayırıq, növbəti düyməsini basın.

Sonra, çipimizi seçməliyik; bu, istənilən vaxt edilə bilər. Şəkildə olduğu kimi, Ailə – Cyclone IV E, “Mövcud cihazlar” bölməsində seçilmiş xüsusi cihazı seçirik və EP4CE6E22C8N çipimizi seçirik, o, ən başlanğıcdadır. Başqası varsa, özününkini tap, vacibdir. Next düyməsini basın.


düyü. 4 -

Pəncərə görünəcək - dizayn alətlərini seçmək, sazlama, hələlik bunu atlayın, növbəti klikləyin və sonra bitirin.


düyü. 5 - Pəncərə "Asinments-Deice"

Pəncərədə "İstifadə edilməmiş sancaqlar" maddəsini seçin. Bu parametr qoşulmamış sancaqlara nə baş verdiyini müəyyənləşdirir. Bu vacib ola bilər; layihənizdə bütün sancaqlardan istifadə edə bilməyəcəksiniz və qoşulmayanlar əslində yerə və ya gücə qoşula bilər (yaxşı, lövhəni kimin bağladığını heç vaxt bilmirsiniz). Torpaqlanmış sancağa birini tətbiq etsəniz, o, yanacaq, buna görə də bunu diqqətlə izləməlisiniz.

Varsayılan olaraq, istifadə olunmamış sancaqlar "Giriş üçlü zəif açılma ilə göstərildiyi kimi" (giriş sancaqları yüksək empedanslı üçüncü vəziyyətdədir, zəif güclə açılır), siz tərk edə və ya "Giriş üçlü şəkildə göstərildiyi kimi" seçə bilərsiniz. ”. Açma rezistoru haqqında Wikipedia https://ru.wikipedia.org/wiki/Pull-up_resistor-da oxuya bilərsiniz. Rəqəmsal texnologiyada üç vəziyyət ola bilər, məntiqi bir təchizatı gərginliyi və ya yüksək səviyyədir, məntiqi sıfır pin yerə qoşulduqda və ya aşağı səviyyəli və yüksək empedans vəziyyətidir. Yüksək empedans vəziyyəti- bu, pin çox yüksək müqavimətə malik olduqda və praktiki olaraq onun qoşulduğu naqillərə təsir göstərmədikdə, bu vəziyyət, məsələn, avtobuslar təşkil edərkən, bir çox cihaz bir naqilə qoşulduqda və qeyri-aktiv qurğular müdaxilə etmədikdə lazımdır. əməliyyatla.


düyü. 6 - "Cihaz və Pin Seçimləri" pəncərəsi, İstifadə edilməmiş sancaqlar

Hamısını "Tapşırıq Redaktoru" ilə bağlayırıq


düyü. 7 - “Tapşırıq redaktoru”na zəng edin menyudan və ya paneldən

Görünən pəncərədə bunu mənim etdiyim kimi edin və ya tamamilə düzgündürsə, lövhənizin diaqramına uyğun olaraq edin.


düyü. 8 - Assinement Redaktoru

“Kimə” sütununda giriş və ya çıxışın adını daxil edin. "Tapşırıq adı" sütununda "Yer" seçin. "Dəyər" sütununda lövhənin diaqramına uyğun olaraq mikrosxemin pin nömrəsi var (məndə pin nömrələri birbaşa lövhədə yazılmışdır).

Bir ayağı ilə yerə, digəri isə çipin girişinə birləşdirilən düymələrlə nə edəcəyinizi də müəyyənləşdirməlisiniz. Ayağa basdığınız zaman aşağı səviyyə olacaq, amma basmadan, aydın deyil, çipin ayağı sadəcə havada asılacaq, bu çox pisdir. Çip girişini ya lövhədəki rezistorla, ya da “Tapşırıqlar redaktorunda” daha zərif bir şəkildə gücə qoşmalısınız. “Təyinat adı” sütununda açar* qrupu üçün “Zəif çəkmə rezistoru” seçin (qrup ulduz işarəsi ilə göstərilir).


düyü. 9 - Tapşırıq Redaktoru

Sonra, birbaşa çip ayaqları ilə işləyəcək yüksək səviyyəli modulun təsvirini yaratmalısınız; bütün digər modullar yalnız onunla işləyəcək. Əsas menyuda Yeni düyməsini basın və "Dizayn Faylları-> Blok Diaqram/Sxematik Fayl" seçin.


düyü. 10 - Yeni fayllar dialoqu

Açılan pəncərədə “Pin Tool” seçin və diaqrama giriş və çıxışı (giriş və çıxış pinləri) yerləşdirin. Girişi açar kimi, çıxışı isə led kimi adlandırırıq və onları keçirici ilə birləşdiririk. Saxla və "Tərtib etməyə başla" düyməsini basın.


düyü. 11 - Qrafik təsvir pəncərəsi, Pin Aləti və Start Compilation vurğulanır

Tərtib etdikdən sonra xəbərdarlıqlarımız var idi, hələlik onlara məhəl qoymuruq, bunlar əlaqəsiz sancaqlar, saat siqnalının olmaması və "Vaxt Analizatoru" üçün təsvirə aiddir.

Demo lövhəsini və proqramçını bağlayırıq, "Proqramçı" alətini seçirik. Pəncərə "USB-Blaster" deməlidir, əgər deyilsə, "Təchizat Quraşdırması" düyməsini basın və niyə olmadığını anlamağa çalışın, çox güman ki, sürücülər quraşdırılmayıb, Windows cihazlarına baxın, naməlum cihazları axtarın, bəlkə bir problem var kabel ilə. Hər şey qaydasındadırsa, "Avtomatik aşkarla" düyməsini basın və çipimizi seçin.


düyü. 12 - Proqramçı Pəncərəsi

"Fayl" sahəsinə iki dəfə vurun və FPGA-ya yazmaq üçün faylı seçin (layihəmizin output_files qovluğunda yerləşir), "Proqram / Konfiqurasiya" sahəsini yoxlayın və "Başlat" düyməsini basın.


düyü. 13 - Proqramçı pəncərəsi, çipimiz artıq konfiqurasiya edilmişdir

İlk FPGA konfiqurasiyanız münasibətilə sizi təbrik edirik! D1 diodu yanmalıdır, 1 düyməsini basdığınız zaman sönməlidir (düymə ayağı yerə bağladığından), biz bununla bağlı bir şey edəcəyik)

1 Pin təyinatı Quartus II layihəsində

Menyuda Tapşırıqlar Cihazı... layihəni “yükləyəcəyiniz” FPGA-nı seçin. Qrupda Cihaz Ailəsi FPGA-nın aid olduğu ailəni seçməlisiniz. Sahədə Mövcud cihazlar FPGA modelinizi seçin. Məsələn, məndə bu Cyclone II, model EP2C5T144C8 var.

Qrupda "Mövcud cihazlar" siyahısında göstərin Siz cihazları iş növünə görə çeşidləyə bilərsiniz ( Paket) və ya sancaqların sayına görə ( Pin sayı) FPGA modelinizi tez tapmaq üçün.

Qoşulmamış FPGA ayaqlarının vəziyyətini müəyyən etmək faydalı olardı. düyməni basın Cihaz və Pin Seçimləri..., nöqtəyə keçin İstifadə olunmamış sancaqlar, və sancaqların vəziyyətini göstərin.


FPGA modelini təyin etdikdən sonra pəncərəni bağlayın Qurğu düyməsini basaraq tamam.

Sintezatorun pinlərin özünə funksiyalar təyin etməsini istəyirsinizsə, başqa bir şey etmək lazım deyil. FPGA pinlərini əl ilə təyin etmək üçün menyuya keçin Tapşırıqlar Pin Planner və ya Ctrl+Shift+N düymələr kombinasiyasını basın.


Pin Xəritəçəkmə Alətini işə salmaq Pin Planner

Pin təyinetmə aləti işə düşəcək. Pin Planner. Aşağıda layihənizdə istifadə olunan I/O pinlərinin müvafiq adları ilə siyahısı verilmişdir. Node Adı.


İndi sütunda Məkan pin nömrələrini təyin etməlisiniz. Müvafiq xanaya iki dəfə klikləyin və çıxış nömrəsini seçin və ya klaviaturadan nömrəni daxil edin. Pin nömrələri çörək lövhənizdən asılı olacaq. Məsələn, mənim lövhəmdə CLK saatının nəbzi, təlimata uyğun olaraq, pin 17-dədir və mən OUT1...OUT5 çıxışlarına 94, 97, 100, 103 və 93 nömrəli pulsuz pinlər təyin edəcəyəm.


Bütün sancaqlar müəyyən edildikdən sonra Pin Planner pəncərəsi Pin Planner bağlana bilər. İndi layihəni tərtib edin: Tərtib etməyə başlayın və ya Ctrl+L.


2 Sürücü quraşdırma USB Blaster proqramçısı üçün

USB Blaster proqramçısını kompüterə qoşaq (əgər sizdə yoxdursa, Ali Express-də ala bilərsiniz). İlk dəfə qoşulduqda, sürücünü quraşdırmalısınız. O, standart şəkildə quraşdırılıb və Quartus qovluğunda, qovluqda yerləşir sürücülər: C:\altera\13.0sp1\quartus\drivers


Sürücünü quraşdırdıqdan sonra proqramçı cihaz menecerində Altera USB-Blaster kimi görünəcək.

JTAG interfeysi vasitəsilə

Altera FPGA-lar bir neçə proqramlaşdırma rejimini dəstəkləyir. Əvvəlcə FPGA-ya proqram təminatının yüklənməsinə baxaq JTAG interfeysi. Proqramçını FPGA lövhəsindəki JTAG konnektoruna qoşun.

Proqramlaşdırma alətini işə salaq: Alətlər Proqramçısı.

Bir proqramçı əlavə edək. Bunu etmək üçün düyməni basın Avadanlıq Quraşdırma… və açılan siyahıdan qoşulmuş USB Blaster seçin. Pəncərəni bağlayaq Avadanlıq Quraşdırma.


Proqramçı pəncərəsində Proqramçı düyməsini basın Avtomatik aşkarlama Quartus-un qoşulmuş FPGA-nı və *.sof proqram təminatı faylını avtomatik aşkarlamağa çalışması üçün.

Firmware faylı tərtib zamanı standart olaraq Quartus tərəfindən yaradılır və kataloqda saxlanılır çıxış_faylları, başqa hal nəzərdə tutulmayıbsa.

Pəncərədə Proqramçı rejimi seçin JTAG, qutunu işarələyin Proqram/konfiqurasiya və düyməni basın Başlamaq. Mikroproqram FPGA yaddaşına yazılacaq.


Aktiv Serial rejimində

Firmware-nin ROM-da saxlanması üçün proqram təminatını yazırıq Aktiv Serial rejimində.

Proqramçı kabelini konnektora qoşun AS və ya Aktiv Serial. Firmware proqramını işə salın: Alətlər Proqramçısı.

Rejimi seçin Rejim Aktiv Serial. Aydınlaşdırıcı suala cavab verərkən razılaşın.

Düyməni klikləməklə firmware faylını əlavə edin Fayl əlavə et... Layihə alt kataloqunda çıxış_faylları uzantısı olan faylı tapın .pof.


Firmware faylını açdıqdan sonra qutuları yoxlayın Proqram/konfiqurasiya və istəsəniz, qalanları.


Sütundakı konfiqurasiya yaddaş tipini qeyd edin Qurğu: O, lövhənizdə quraşdırdığınız yaddaş növünə uyğun olmalıdır.

düyməni basın Başlamaq proqram təminatını FPGA konfiqurasiya yaddaşına yükləmək üçün.


Firmware fayllarını çevirmək və konfiqurasiya etmək üçün menyudan əldə edilə bilən bir alət var Proqramlaşdırma fayllarını çevirmək….

Bu Altera-dan proqramlaşdırıla bilən məntiq və Quartus inkişaf mühitinə həsr olunmuş seriyanın birinci hissəsidir. O, Quartus IDE-nin pulsuz nəşri üçün paylama dəstinin əldə edilməsi prosesini izah edir. Həm də bu mühitdə yeni layihənin yaradılması prosesi.

Seriyanın növbəti hissəsi: Altera FPGA. Hissə 2: Rəqəmsal Devre Dizaynı.

İkinci hissə layihəyə yeni faylların əlavə edilməsi prosesini araşdırır. Rəqəmsal dövrənin vizual dizaynı.

Bu məqalələr silsiləsi Altera Max II çipində CTRL-CPLD-EPM570 lövhəsi ilə işləməyə yönəlib. Bu lövhə materialın müvəffəqiyyətlə mənimsənilməsi üçün ciddi şəkildə lazım deyil, çünki orada təsvir olunan layihə Max II-nin heç bir xüsusi xüsusiyyətlərindən istifadə etmir.

Layihəni çipə yükləmək üçün Altera USB Blaster ilə uyğun gələn ucuz proqramçı istifadə olunur.

Addım 1. Altera Quartus paylanmasının əldə edilməsi

Diqqət! 2015-ci ildə Altera Intel tərəfindən alınıb. Altera brendi 2018-ci ilin iyul ayında fəaliyyətini dayandırdı və altera.com veb-sayt ünvanı artıq heç bir yerə aparmır. Altera-nın yeni adı Intel Proqramlaşdırıla bilən Həllər Qrupudur (PSG). Altera FPGA-larla işləmək üçün tələb olunan bütün sənədlər və proqram təminatı indi intel.com saytında mövcuddur


Altera FPGA üçün layihələr yaratmaq üçün xüsusi bir IDE istifadə olunur - Quartus. Quartus-un saysız-hesabsız versiyaları var və siz mövcud çip üçün düzgün olanı seçməlisiniz. Altera müntəzəm olaraq proqram təminatının yeni versiyalarından köhnə çiplər üçün dəstəyi aradan qaldırır. Öz növbəsində, Quartus-un yeni versiyaları kompüter proqram və avadanlıqlarına olan tələbləri dəyişir. Məsələn, Quartus 13.1 həm 64-bit, həm də 32-bit sistemlərdə işləyən ən son versiyadır.

Bu məqalələr seriyası Quartus 13.1 versiyasına yönəldilmişdir. Çünki, bir tərəfdən, əməliyyat sisteminin bitliyi üçün xüsusi tələbləri yoxdur. Digər tərəfdən, MAX II seriyalı çipləri dəstəkləyir.

Quartus paylanmasını əldə etmək üçün intel.com və ya intel.ru saytında hesabınız olmalıdır. Təəssüf ki, hazırda (2018-ci ilin dekabrında) Intel saytında qeydiyyat proseduru bir qədər çətindir. Qeydiyyat formasını tapmaq asan deyil. Ona yalnız paylamanın yüklənməsinin son mərhələsində daxil olmaq olar. Həmçinin, qeydiyyat prosesi zamanı etibarlı e-poçt ünvanı, mobil telefon nömrəsi təqdim etməli və mürəkkəb parol tapmağınızdan əmin olmalısınız.

Intel və Altera arasında aktiv birləşmə prosesi davam edərkən, Quartus-u yükləmək üçün üçüncü tərəf resurslarından istifadə etmək daha yaxşıdır. Dağıtım Yandex diskində ictimaiyyətə açıqdır, yükləmə linki: https://yadi.sk/d/bcrz7IAAKY6dQg

MAX II çipi ilə işləmək üçün sizə Quartusun özünə və MAX II və MAX V-ni dəstəkləyən paketə ehtiyacınız olacaq. Bunlar fayllardır “ QuartusSetupWeb-13.1.0.162.exe"Və" max_web-13.1.0.162.qdz"müvafiq olaraq.

Məqalənin sonunda paylamanı yükləmək üçün təlimatların köhnə versiyası mövcuddur: . Intel veb-saytı Altera-dan Proqram Seçicisindən istifadə etdiyi üçün paylamanı Intel veb saytından necə endirmək barədə təxmini fikir əldə etmək üçün ondan istifadə edə bilərsiniz. Və eynilə Altera kimi, Proqram Seçicisi, istifadəçi əvvəllər öz hesabı ilə sayta daxil olmayıbsa, hər hansı bir faylı yükləmək istəyərkən istifadəçini giriş səhifəsinə yönləndirir.

Bu giriş səhifəsi Intel veb saytında qeydiyyat formasına keçidi ehtiva edən yeganə yerdir. Qeydiyyat linkləri səhifənin sağ tərəfində yerləşir. Adi istifadəçilər üçün “Fərdi hesab üçün indi qeydiyyatdan keç” linkindən istifadə edə bilərsiniz. Link aşağıdakı şəkildə göstərilib.

Addım 2: Quartus II Web Edition 13.1-i quraşdırın

Quartus II CAD proqramının quraşdırılması heç bir sürprizi ehtiva etmir və sübut edilmiş “Növbəti → Sonrakı → Bitir” alqoritminə uyğundur.

Quraşdırma parametrlərini dəyişdirməyə ehtiyac yoxdur. Aşağıdakılar Quartus II-nin standart parametrlərlə quraşdırıldığını güman edir.

Quraşdırma tamamlandıqdan sonra Quartus mühiti avtomatik olaraq başlayacaq. Bu avtomatik işə salma standart olaraq aktivdir.

Quartus CAD proqramını ilk dəfə işə saldığınız zaman sizdən Altera-ya telemetriyanın göndərilməsini konfiqurasiya etməyiniz xahiş olunacaq (burada TalkBack adlanır) və lisenziya növünü seçin.

“TalkBack”i söndürmək və ya aktivləşdirmək “Altera-ya TalkBack məlumatının göndərilməsini aktivləşdir” qutusunu yoxlamaqla təyin edilir ki, bu da Quartus-u quraşdırdıqdan sonra Alətlər → Seçimlər → İnternet Bağlantısı → “TalkBack Seçimləri...” düyməsi vasitəsilə mümkündür.

Lisenziya növü tələb olunduqda, sadəcə ikinci seçimi seçirsiniz: “Quartus II proqramını işə salın.” Bu yolla pulsuz lisenziya seçəcəksiniz.

Bu anda proqram təminatının quraşdırılması və ilkin konfiqurasiyası tamamlandı və siz ilk layihənizi yaratmağa davam edə bilərsiniz.

Addım 3. Quartus II - ilk layihənin yaradılması

Əvvəlcə yeni layihə üçün bir qovluq yaradın. Bunu "birinci layihə" adlandırın.

Diqqət! Layihə kataloquna gedən yolda kiril hərfləri olmamalıdır! Quartus II 15 və 13 versiyalarında kiril dəstəyi ilə bağlı problemlər var. Digər versiyalar sınaqdan keçirilməyib.


İndi Quartus II-ni işə salın. Təzə quraşdırılmış Quartus II-ni işə saldığınız zaman sizi aşağıda göstərilənə bənzər bir pəncərə qarşılayacaq.


Yeni layihə yaratmaq üçün “Yeni Layihə Sihirbazı” düyməsinə klikləməklə və ya Fayl → Yeni Layihə Sihirbazı menyusu vasitəsilə mövcud sehrbazdan istifadə edin.

Sihirbazı işə saldığınız zaman, sehrbaz zamanı konfiqurasiya edilə bilən layihə parametrlərinin qısa siyahısını təqdim edən bir pəncərə görünəcək.

"Növbəti" düyməsini basın və aşağıdakı ekran görüntüsündə göstərilən pəncərəyə aparılacaqsınız. Bu pəncərədə siz işçi kataloqunu (“Bu layihə üçün işçi kataloqu nədir?”) və bütün layihənin adını (“Bu layihənin adı nədir?”) göstərməlisiniz. Həm də onun əsas modulunun adı (“Bu layihə üçün yüksək səviyyəli dizayn qurumunun adı nədir? ...”).

Sehrbaz avtomatik olaraq layihənin adını əsas modulun adı ilə əvəz edir və bu, Quartus II-dəki layihələr üçün ümumi tövsiyədir, həqiqətən ehtiyac olmadıqca ondan kənara çıxmamalısınız.


"Əsas modulun" özü haqqında bir neçə kəlmə. Quartus II layihəsi dizayn edilmiş inteqral sxemin müxtəlif funksional bloklarını təsvir edən çoxlu faylları (modulları) əhatə edə bilər. Və bu dəst arasında diaqramın bütün blokları - yüksək səviyyəli dizayn obyekti arasındakı əlaqələri təsvir edən bir fayl mütləq seçilir. Yalnız bir fayldan ibarət kiçik layihələr üçün bu tək fayl həm də layihənin əsas modulu olacaqdır.

Növbəti addımda sehrbaz əvvəllər yaradılmış layihələrdən faylları yeni layihəyə əlavə etməyi təklif edəcək. Bu, ilk layihəniz olduğundan üçüncü addıma keçin.

Üçüncü addım ən vaciblərdən biridir. Burada istifadə etdiyiniz FPGA çipinin xüsusi modelini göstərməlisiniz. Bu addımdakı sehrbaz pəncərəsi aşağıdakı ekran görüntüsündə göstərilir. Bu pəncərədə, mövcud olanların geniş siyahısından tələb olunan mikrosxemi addım-addım seçmək üçün bir çox parametr mövcuddur. Başlamaq üçün ən yaxşı yer “Cihaz Ailəsi” blokudur. Burada çipinizin aid olduğu ailəni seçməlisiniz. CTRL-CPLD-EPM570 lövhəsində Max II ailəsinə aid EPM570T100C5N çipi var.


Sağdakı blokda - ""Mövcud cihazlar" siyahısında göstər", pəncərənin altındakı mikrosxemlərin siyahısını azaltmaq üçün bir filtr qura bilərsiniz. Çip qablaşdırma növünü təyin edə bilərsiniz. Onun qutusunun sancaqlarının sayı. Həm də şərti vahidlərdə nüvənin sürəti. İkincidən axırıncı filtrə mikrosxemin adı ilə süzülür. Onu istifadə etmək ən rahat olacaq, çünki mikrosxemin adı artıq dəqiq məlumdur. Lakin EPM570T100C5N işarəsinə görə, seçim üçün heç bir mikrosxem təklif edilmir. Adı EPM570T100C5 olaraq qısaldın və ən yaxın uyğun gələn siyahıdan bu ada malik çipi seçin.

Məlumat vərəqinə müraciət etsəniz, məlum olur ki, çip işarələməsindəki son N hərfi yalnız çip qablaşdırmasında qurğuşun olmaması deməkdir. Quartus II layihəniz üçün bu faktın əhəmiyyəti yoxdur, çünki EPM570T100C5N və EPM570T100C5-in nüvəsi tamamilə eynidir.

Növbəti, dördüncü addıma keçin - "EDA Alət Ayarları". Burada peşəkar çip dizaynerləri tərəfindən istifadə olunan müxtəlif üçüncü tərəf CAD alətləri ilə inteqrasiya qura bilərsiniz. Bu məqalənin məqsədi minlərlə dollara başa gələn bütöv bir proqram paketi deyil, Quartus II CAD proqramını mənimsəməyə kömək etmək olduğundan, sadəcə son addıma keçin.


Son addım yeni layihəniz üçün parametrlərin ümumiləşdirilmiş siyahısını göstərir. Layihənin adı və iş kataloqu, yüksək səviyyəli dizayn obyekti, istifadə olunan çipin işarələnməsi kimi parametrlərin düzgün qurulduğunu yoxlayın. Sonra "Bitir" düyməsini basın. Hamısı budur! Layihə yaradılıb.

Siz “Bitir” düyməsinin sehrbazın ilk addımından klikləmək üçün əlçatan olduğunu görmüsünüz. Və həqiqətən ilk addımda yalnız iş qovluğunu, layihənin adını və əsas modulu göstərərək onu vura bilərsiniz. Yalnız bu məlumat yeni bir layihə yaratmaq üçün mütləq lazımdır. Bütün digər parametrlər proqramın əsas menyusundan istənilən vaxt konfiqurasiya üçün mövcuddur. Beləliklə, layihənizə daha çox fayl əlavə etmək üçün (sehrbazın ikinci addımı) Layihə → Layihədə Faylları Əlavə et/Sil menyusundan istifadə edin. Layihənin yaradıldığı çipin modelini seçmək və ya dəyişdirmək üçün (sehrbazın üçüncü addımı) Tapşırıqlar → Cihaz menyusundan istifadə edin. Əlavə inkişaf alətləri ilə inteqrasiya (sehrbazın sondan əvvəlki addımı) Tapşırıqlar → Parametrlər → EDA Alət Parametrləridir.

Ümumiyyətlə, bütün layihə parametrləri bir pəncərədə toplanır, görünüşü aşağıdakı ekran görüntüsündə göstərilir. Pəncərə Tapşırıqlar → Parametrlər menyusu vasitəsilə çağırılır.


Fayllar elementi - bu proqramın əsas menyusundan çağırılan pəncərədir (Layihə → Layihədə Faylları Əlavə et/Sil). EDA Alət Parametrləri – oxşar. Mikrosxem seçimi layihə parametrləri pəncərəsinin yuxarı sağ küncündə yerləşən “Cihaz...” düyməsindən istifadə etməklə mümkündür.

Bununla, Intel (Altera) şirkətinin FPGA-ları ilə işləmək üçün təlim haqqında məqalənin birinci hissəsi yekunlaşır.

(Arxiv) Altera Quartus paylanmasının əldə edilməsi

Quartus paylanmasını əldə etmək üçün hesabınıza daxil olun altera.com-da myAltera: Saytın yuxarı sağ küncündə, axtarış çubuğunun yanında “GİRİŞ” düyməsi.

Əgər hələ də myAltera hesabınız yoxdursa, qeydiyyat formasının ən altındakı “Hesabınız yoxdur? birini yaradın."

myAltera-ya daxil olduqdan sonra bura keçin sayt yükləmə mərkəzi. Yazı zamanı link: https://www.altera.com/downloads/download-center.html

Link işləmirsə, hesabınızın ana səhifəsi vasitəsilə yükləmə mərkəzinə keçin. Bunu etmək üçün "DƏSTƏK" bölməsini seçin və orada sizi yükləmə mərkəzinə aparacaq "Yükləmələr" linkini izləyin.

Yükləmə mərkəzində Quartusun ən son versiyasını müxtəlif nəşrlərdə yükləmək üçün birbaşa keçidlər var. Aşağıda "Proqram Seçicisi" bloku var, səhifəni ona doğru sürüşdürün.

Proqram Seçicisində Lazım olanı seçmək üçün bir neçə yol var Q uartus paylanması, nişanlar: "Versiyaya görə seçin" (tələb olunan proqram versiyasına görə birbaşa seçim), "Cihazla seçin" ( müəyyən bir çip üçün dəstəyin mövcudluğuna əsaslanaraq proqram versiyasının seçilməsi), Proqram təminatı ilə seçin ( proqram məhsulunun seçimi adı ilə).

Ən əlverişli seçim, mövcud mikrosxem üçün dəstəyin mövcudluğuna əsaslanan seçim kimi görünür.

Məsələn, bir mikrosxem istifadə edilərsə Altera Max II sonra nişanı seçməlisiniz " Cihazla seçin" və "Cihazlar" blokunda » elementi genişləndirin « MAX seriyası". IN görünən siyahıdan seçin Maks II.

Blokun sağında Cihazlar göstəriləcək dəstəyi daxil edən Quartus nəşrlərinin və versiyalarının siyahısı Maks II. Pulsuz nəşr yalnız Veb Nəşrdir.

Versiya seçərkən, 14.0 versiyasından başlayaraq Quartus II-nin yalnız 64 bitlik sistemlərdə işlədiyinə diqqət yetirin. Bu səbəbdən Quartus II 13.1-i seçməyi məsləhət görürəm. Sonra, iş və quraşdırma prosesi on üçüncü üçün xüsusi olaraq təsvir ediləcəkdirversiyaları.

Versiyanı seçdikdən sonra siz Quartus II Web Edition paylanması üçün yükləmə seçimləri səhifəsinə aparılacaqsınız.

"Əməliyyat sistemi" - Windows.

"Yükləmə üsulu" öz mülahizənizlə seçin. Fərq"Birbaşa Yükləmə"dən "Akamai DLM3 Download Manager" odur ki, birinci halda, Quartus-un özünün fayllarını yükləməzdən əvvəl, ilk növbədə, Windows OS altında əlavə proqram yükləməli və işə salmalısınız.Bu proqram eyni vaxtda yükləmək üçün bir neçə fayl seçməyə imkan verir və həmçinin onların bərpasını dəstəkləyir.“Birbaşa Yükləmə” yükləmə rejimini seçdiyiniz zaman, fayllar ayrı-ayrılıqda, hər biri öz linkindən istifadə edərək Veb brauzerdən istifadə etməklə endirilə bilər.

Yuxarıdakı ekran görüntüsündə “Di rect Download” yükləmə seçimi seçilib.

"Quartus II Proqram təminatı" və "MAX II, MAX V cihaz dəstəyi" fayllarını endirin. Bu, MAX II çipi ilə işləmək üçün tələb olunan minimumdur.


(C) Araşdırma/VolgaSoft Müasir texnologiyalar. ALTERA.(giriş materialı) GİRİŞ Bu məqalə müasir kiçik bir möcüzə, rəqəmsal elektronika dünyasında hesablanmış bir irəliləyiş - proqramlaşdırıla bilən məntiq çipləri haqqında danışacaq. Bu, ən müasir modellərdə dayanan kərpicdir ZX-SPECTRUM - GMX və SPRINTER , bu, demək olar ki, hər şeyi edə biləcəyiniz eyni mikrosxemdir - Z80X-dən (tutaq ki, 30 MHz, maşın dövrü 1 saat), hər şeyə qədər Pentaqon 2D sürətləndirici, GS, siçan və IDE nəzarətçi ilə birlikdə. Yəqin ki, hər bir radio həvəskarı, rəqəmsal bir cihaz hazırlayarkən, ürəyində xəyal etdi - bütün xəstəliklər üçün bir həb haradan tapılacağını - hər şeyi əvəz edəcək bir mikrosxem. Mühəndislər bu cür cihazlara xüsusilə ehtiyac duyurdular, çünki ciddi bir məhsul hazırlayarkən onlar “tapşırıq üçün” xüsusi inteqral sxem tərtib etməli idilər. Məntiq tərtib edildiyi andan iş məhsulu görünənə qədər adətən bir neçə ay keçdi və böyük miqdarda vəsait xərcləndi. Lakin tələb təklifi yaradır - yarı xüsusi genişmiqyaslı inteqral sxemlər (LSI) və proqramlaşdırıla bilən məntiq massivləri (PLA) meydana çıxdı. Elm dayanmadı, texnologiyalar getdikcə inkişaf etdi və bir gün "proqram" + "hardware" + "daş" paketinin buraxılması elan edildi. İdeya çox sadə idi: tərtibatçı proqram təminatında diaqram çəkir (bəlkə də onu kağızdan köçürür) və ya gələcək məhsulun məntiqini ikili tənliklər və sadə operatorlarla təsvir edir, sonra tərtibçi diaqramı hansısa koda çevirir və kod proqramçı ("hardware"). ") tərəfindən dərhal lövhəyə lehimlənə bilən proqramlaşdırıla bilən məntiq çipinə ("daş") tikilir. Və çip inkişafı və ya sınaq üçün heç bir xərc yoxdur. Rəqəmsal cihazların tərtibatçıları yeni məhsulu bir partlayışla qəbul etdilər. Bu gün bazarda bir çox LSI proqramlaşdırıla bilən məntiq (PL) istehsalçıları var. Onların məhsulları həm tutumuna, həm arxitekturasına, həm də proqram təminatı və aparat təminatının keyfiyyətinə, xidmətə və nəhayət, dəyərinə görə fərqlənir. Bu gün aydın lider şirkətdir Altera Korporasiyası . O, yalnız geniş çeşidli məhsullar sayəsində deyil, həm də məhsullarının səriştəli paylanması sayəsində bazarı fəth etdi. Məsələn, proqram məhsullarının (tələbə versiyaları) təhsil məqsədləri üçün istifadəsi pulsuzdur. Rusiya üçün, ümumiyyətlə, vacib deyil (demək olar ki, heç kim müəllif hüququ qanununu görməyib), lakin xaricdə bu məsələ aktualdır. Bundan əlavə, şirkətin məhsulları sözün həqiqi mənasında daxili bazara çıxdı - nəticədə biz indi demək olar ki, hamısındayıq. SÖZLƏRDƏN HƏKİYYƏTƏ VLSI PL Altera-dan aşağıdakı meyarlara görə təsnif edilir: 1) inteqrasiya dərəcəsi (mövcud qapıların (2I-NOT elementlərinin) və flip-flopların sayı); 2) ən sadə funksional çeviricinin (hüceyrənin) arxitekturası; 3) VLSI-nin daxili strukturunun və funksional çeviricilərin birləşmələrinin matrisinin strukturunun təşkili (hüceyrələri birləşdirmə üsulu); 4) daxili RAM yaddaşının olması; 5) proqramlaşdırıla bilən elementin istehsalı texnologiyası (Fuse, EPROM, EEPROM, FLASH, SRAM) - dövrənin simli necə bağlanması. BIS PL şirkətinin əksəriyyəti ilə işləmək Altera MAX+plus II aparat təsviri dilini təklif edir. MAX+plus II dizayn avtomatlaşdırma sistemi altı VLSI PL ailəsini dəstəkləyir.Parametrlər ├────────── ┬─────┬─────┤ │Ailə│Logic│UPin │Tech │ │ │ tutum │ │ │ │ ─ ─ ─ ─ │ ─ ──────┼────── ────┼─────┼─────┤ │Klassik │ 300-900 │22-64│EPROM│ │0───│ │0──│60-3402 EPRO M│ │MAX7000E │ 600-5000 │ 164│EEP- │ │MAX9000 │ 6e3-12e3 │216│ROM-a qədər │ │FLEX8000A│ 2500-16e3│208│FL│14K RAM│14K-ə qədər │406-a qədər │SRAM │ └── ───────┴─ ─────────┴─────┴─────┘Məntiqi tutum mövcud 2I-NOT elementlərinin sayıdır, yəni 25.000-ə qədər LA3-lərimiz FLEX10K-a sığa bilər. UPin - istifadəçi pinlərinin sayı. Texnika - texnologiya. Cədvəl üçün praktik izahat: tutaq ki, ən ölümcül FLEX8000A (SRAM texnologiyası - yəni enerjini işə saldıqdan sonra cihazın dövrəsi alternatora "tökülməlidir"), onu istifadəçinin ayaqları ilə Rodimigo avtobusuna asırıq. Ləkəli (bir neçə pulsuz olanlar qalacaq), biz toplu olaraq sadə bir port dekoderi düzəldirik və onu alterina girişinə qoşuruq. Nə əldə edirik? Super cihaz alırıq!!! Axı, indi demək olar ki, hər hansı bir sxemi dəyişdirməyə tökə bilərsiniz!!! Xarici matdan hər şey ola bilər. prosessor (tutaq ki, biz bir porta 16 bitlik bir bayt bayt qoyuruq, ikinci nömrəni digərinə, əməliyyat kodunu başqa yerə qoyuruq, sonra nəticəni oxuyuruq), zehnin başa düşülən hər şeyə (soxdurursansa) bir neçə pulsuz ayaq "harada olmalıdır" - siz seqmentlərin aparat doldurulması və aparat konstruksiyasını, çox kanallı proqramlaşdırıla bilən fasilələri (xüsusilə rəqəmsal audio üçün aktualdır), yeni prosessor əmrlərini (aşağıdakı kimi) edə bilərsiniz."sprinter" və ya əlavə prosessor registrləri....). Siz inadkar “kodçular” hələ də etdiyi kimi böyük funksiyalar icad etməmək üçün aparat funksiyalarının kitabxanalarını yarada və tapşırığa uyğun olaraq oxuya bilərsiniz. Eyni FLEX8282A (ən ölümcül, yuxarıya baxın) dövrəsinin "profirm proqramı", əgər yaddaşım mənə düzgün xidmət edirsə, 6 kB ağırlığında. Konfiqurasiya zamanı bütün istifadəçi ayaqları Z vəziyyətindədir və sistemin işinə təsir göstərmir. Cihazı “əbədi davam edəcək” etmək və onu işə saldıqdan sonra onu hər dəfə konfiqurasiya etməmək lazımdırsa, MAX ailəsindən istifadə edə bilərsiniz. Həmçinin, MAX ailəsinin bəzi LSI-lərində "dövrə sızması"ndan qorunma var - bir az inkişaf sirri, lakin mənim fikrimcə, bu ailənin istifadəsi ehtiyac səbəbindən bir qədər məhduddur (ən azı "ev" şəraitində). proqramçı və məhdud sayda proqramlaşdırma dövrü.İNDİ İNKİŞAF MÜHİTİ HAQQINDA BİR NEÇƏ SÖZ: HARDWARE TƏSVİRİ DİLİ MAX + PLUS II.MAX + plus II sistemi aşağıdakı platformalar üçün yazılmışdır: PC, MAC, SUN. Nə Speccy , nədənsə, hətta bədnam sevgilisi belə bu siyahıda yox idi. Sistem çox yaxşı, sadə və geniş imkanlara malikdir. Əsas komponentlər qrafik və mətn redaktorları, kompilyator, diaqram redaktoru (“sazlayıcı” kimi də tanınır), taymer, tərtibat və tərtibat redaktorudur. Qrafik redaktorda cihazın diaqramı çəkilir (qrafik tapşırıq istifadə olunarsa). Sxemin elementləri olaraq, "burjua qonağına görə" məntiqindən istifadə edə bilərsiniz, bütün 74-cü seriya oradadır və düşmənin təyinatını bəyənmirsinizsə, hər şeyi yolumuza çəkə və işlədə bilərsiniz. Avadanlığın mətn təsvirini vermək üçün mətn redaktoru istifadə olunur. Dil ortadadır dBase, C, Pascal . Sinxron sonlu dövlət maşınının təsviri üçün demək olar ki, hazır əmr var, həmçininəgər və halda . Toplayıcı hərfi mənada belə təsvir olunur C=A+B . Telefon çip kartı gərginlik olmadan bir saat ərzində təsvir edilir və sınaqdan keçirilir (aşağıya bax). Kompilyator sxemi (və ya mətni) yoxlayır və verilmiş “kərpic” üçün proqram təminatı yaradır. Sürət və həcm üçün geniş şəkildə tənzimlənən optimallaşdırma hədləri cihazdan maksimum performansı və ya çipdə minimum işğal olunmuş ərazini sıxışdırmağa imkan verir. Həkim tərəfindən hazırlanmış faydalı bir şey var. Yarışların və təhlükəli yerlərin harada olduğunu və hansı şəraitdə görünəcəyini göstərir, cihazı işə saldıqdan sonra işə salmaq üçün sıfırlama düyməsini basmağı xahiş etməyi unutmur və s. Diaqram redaktorunda gələcək cihazın işini simulyasiya edə bilərsiniz: tutaq ki, siz giriş siqnallarını təyin etdiniz və müvafiq çıxışlara baxdınız; yarışlar da göstərilməyi unutmayın. Buna görə də, dövrəni yığmadan əvvəl onu "maksimum" ilə işə salıb yoxlamaq daha yaxşıdır. Düzdür, bir səhv də var idi - hazırlanmış T-trigger R.S. , fərqlə sinxronlaşdırılır, baxmayaraq ki, praktikada şumlanır. Diaqramlara baxmaq çox rahatdır: siz onları qruplara birləşdirərək və asan görünən say sistemini (ikilik, onluq, onaltılıq) təqdim etməklə diaqramlara baxa bilərsiniz. Giriş məlumatları kodla da müəyyən edilə bilər Boz . Siz həmçinin maşının daxili vəziyyətlərinə baxa bilərsiniz. Taymer - bu həm də Afrikada bir taymerdir - gecikmələri və cihazın mümkün olan maksimum işləmə tezliyini göstərir. Layout və layout redaktoru yarışların “hardware” aradan qaldırılması (məntiq hüceyrələrinin yenidən təşkili) və xüsusi sancaqların yerləşdirilməsi üçün istifadə olunur. Distribyutor qiyməti Altera Təəssüf ki, mən onu itirdim, ona görə də yaddaşdan deyə bilərəm ki, FLEX10K10-un qiyməti 20 dollardan, FLEX8282A-nın qiyməti 8 dollardan, MAX ailəsinin elementlərinin qiyməti 3,3 dollardan başlayır. Məlumatı haradan əldə edə biləcəyinizlə maraqlanırsınızsa, məqalənin sonuna keçə bilərsiniz, çünki əlavə məlumat gələcək.AİLƏLƏR HAQQINDA ƏTRAFLI MƏLUMAT Klassik ailəyə 3 VLSI seriyası daxildir. Bu ailənin LSI-ləri 10-dan 20-yə qədər orta inteqrasiya dərəcəsinə malik mikrosxemləri ehtiva edən cihazı əvəz etməyə imkan verir və aşağıdakıları təmin edir: * LSI-nin hər hansı giriş və çıxışından siqnalın yayılmasının 10 ns-dən çox olmayan gecikməsi; * 100 MHz-ə qədər tezliklərdə sabit işləmə; * az enerji sərfiyyatı rejimində işləmək bacarığı; * inkişaf məxfilik rejimini təyin etmək imkanı (dövrə mikruhidən geri birləşdirilə bilməz). Qeyd: görünür, onlar artıq dayandırılıb. MAX5000 ailəsinə 5 VLSI seriyası daxildir. Bu ailənin LSI-ləri bir neçə onlarla mikrosxemdən ibarət cihazı orta inteqrasiya dərəcəsi ilə əvəz etməyə imkan verir və aşağıdakıları təmin edir: * LSI-nin istənilən giriş və çıxışından siqnalın yayılmasında 15 ns-dən çox olmayan gecikmə; * 76 MHz-ə qədər tezliklərdə sabit işləmə; * inkişaf məxfilik rejimini təyin etmək imkanı; * çıxış tamponlarının üç iş rejimindən istifadə etmək imkanı: giriş, çıxış, iki istiqamətli. MAX7000 ailəsinə 7 VLSI seriyası daxildir. Bu ailənin LSI-ləri yüzlərlə mikrosxemdən ibarət cihazı orta inteqrasiya dərəcəsi ilə əvəz etməyə imkan verir və aşağıdakıları təmin edir: * hər hansı bir girişdən LSI-nin çıxışına 5 ns-dən çox olmayan siqnalın yayılması gecikməsi; * 178 MHz-ə qədər tezliklərdə sabit işləmə; * çıxış buferlərinin keçid sürətini tənzimləmək bacarığı; * çıxış buferlərinin 4 iş rejimindən istifadə etmək imkanı: giriş, çıxış, iki istiqamətli, açıq kollektor; * həm bütövlükdə bütün VLSI, həm də ayrı-ayrı siqnalların yayılma sxemləri üçün aşağı enerji istehlakı rejimini təyin etmək imkanı; * lövhədə naqil çəkildikdən sonra proqramlaşdırma və yenidən proqramlaşdırma imkanı; * inkişaf məxfilik rejimini təyin etmək imkanı; * azaldılmış (3,3 V) təchizatı gərginliyi ilə işləmək. Qeyd: bir sıra seriyalar PCI avtobus standartına uyğundur. FLEX8000A ailəsinə 7 VLSI seriyası daxildir. Bu ailənin LSI-ləri mikrosxemlərdə hazırlanmış onlarla lövhəni tutan cihazı orta inteqrasiya dərəcəsi ilə əvəz etməyə imkan verir və aşağıdakıları təmin edir: * 294 MHz-ə qədər tezliklərdə sabit işləmə; * 3 ştatlı daxili avtobusun emulyasiyası; * azaldılmış (3,3 V) təchizatı gərginliyi ilə işləmək; * qarışıq (3,3 V, 5 V) təchizatı gərginliyi olan sistemlərdə işləmək; * qeyri-məhdud sayda konfiqurasiya dövrünün həyata keçirilməsi, o cümlədən "tez". VLSI enerji təchizatını söndürmədən; * çıxış buferlərinin keçid sürətinin tənzimlənməsi; * çıxış tamponlarının 3 iş rejimindən istifadə etmək imkanı: giriş, çıxış, iki istiqamətli. Qeyd: Bu seriyadakı bütün VLSI-lər PCI avtobus standartına uyğundur. MAX9000 ailəsinə 4 seriyalı çip daxildir. Bu ailənin LSI-ləri mikrosxemlərdə hazırlanmış onlarla lövhəni tutan cihazı orta inteqrasiya dərəcəsi ilə əvəz etməyə imkan verir və aşağıdakıları təmin edir: * 125 MHz-ə qədər tezliklərdə sabit işləmə; * makroselin məntiqi hissəsinin və triggerinin müstəqil istifadəsi; * həm bütövlükdə bütün VLSI, həm də ayrı-ayrı siqnalların yayılma sxemləri üçün aşağı enerji istehlakı rejimini təyin etmək imkanı; * lövhədə naqil çəkildikdən sonra proqramlaşdırma və yenidən proqramlaşdırma imkanı; * qarışıq (3,3 V, 5 V) təchizatı gərginliyi olan sistemlərdə işləmək; * çıxış buferlərinin keçid sürətinin tənzimlənməsi; * çıxış tamponlarının 3 iş rejiminin istifadəsi: giriş, çıxış, iki istiqamətli. Qeyd: bir sıra seriyalar PCI avtobus standartına uyğundur. FLEX10K ailəsinə 7 VLSI seriyası daxildir. Bu ailənin LSI-ləri mikrosxemlərdə hazırlanmış yüzlərlə lövhəni tutan cihazı orta inteqrasiya dərəcəsi ilə əvəz etməyə imkan verir və aşağıdakıları təmin edir: * 450 MHz-ə qədər tezliklərdə sabit işləmə; * 24 Kbit-ə qədər tutumlu statik yaddaş və ROM-un çip üzərində tətbiqi; * hər bir məntiqi elementin məntiqi hissəsinin və tetikleyicisinin müstəqil istifadəsi; * 3 ştatlı daxili avtobusun emulyasiyası; * daxili saat tezliyinin vurulması; * qarışıq (3,3 V, 5 V) təchizatı gərginliyi olan sistemlərdə işləmək; * qeyri-məhdud sayda konfiqurasiya dövrünün həyata keçirilməsi, o cümlədən "tez". VLSI enerji təchizatını söndürmədən; * çıxış buferlərinin keçid sürətinin tənzimlənməsi; * çıxış buferlərinin 4 iş rejimindən istifadə etmək imkanı: giriş, çıxış, iki istiqamətli, açıq kollektor. Qeyd: Bu seriyadakı bütün VLSI-lər PCI avtobus standartına uyğundur. Bu belədir. Təkcə onu əlavə edəcəyəm ki, tərəqqi hələ də dayanmır və hər ailəyə daha çox seriya əlavə olunub. GÖRÜNÜŞ WWW.ALTERA.COM - orada daha ətraflı məlumat və MAX + Plus II proqramı (özünü tələbə və ya tədqiqatçı kimi göstərərək) üçün elektron açarlar əldə edə bilərsiniz.EFO şirkəti. E-poçt: [email protected] Tel. (812) 247-5340 194021 Sankt-Peterburq, Politexniçeskaya küç., 21(burada müvafiq elementləri ala bilərsiniz).ƏDƏBİYYAT 1. ALTERA element bazasının icmalı (Antonov A.P., Melekhin V.F., Fillipov A.S.; Sankt-Peterburq 1997) 2. Eyni kitab (yalnız daha böyük görünür) videoda MAX + Plus II ilə kompaktdır.PDF (görünür) 45 MB), ingilis dilində olsa da.

Öz inkişaflarında iştirak edən əksər radio həvəskarları və dizaynerləri üçün rəqəmsal mikrosxemlər çoxdan məlumdur və mənimsənilir. Bir çox insanlar dizaynlarını kifayət qədər uzun müddətdir ki, mikrokontrollerlərdə dizayn edirlər, çünki bu, ölçüləri əhəmiyyətli dərəcədə azaldır və cihazların funksionallığını yaxşılaşdırır. Yeganə problem ondadır ki, hər şeyi nəzarətçi əsasında qurmaq olmaz. Dəyişən giriş siqnallarına ani cavab tələb edən yüksək sürətli qurğular mikro nəzarətçi üzərində qurula bilməz, çünki o, əmrlərin addım-addım (boru xətti) işlənməsini təşkil edir, nəticədə müəyyən bir siqnal vermək qərarı verilir. Buna görə də, mikrokontrollerlərlə yanaşı, bəzən layiqli sayda paketlərlə şərti məntiqdən istifadə etmək lazımdır. Bunun nəticəsi çoxlu sayda xarici əlaqələr, dizayn mürəkkəbliyi və çap dövrə lövhələrinin böyük ölçüləri, birləşdirici keçiricilərin böyük uzunluğu, yüksək saat tezliyi olan cihazların qurulmasında çətinlik və aşağı etibarlılıqdır. Bu problemin həlli bir-biri ilə sərt elektrik əlaqələri olmayan müəyyən sayda funksional əsas elementlərin toplusundan ibarət olan proqramlaşdırıla bilən məntiqli inteqral sxemlərin (FPGA) istifadəsidir, bu da demək olar ki, ixtiyari konfiqurasiya qurmağa imkan verir. xüsusi bir elektron dövrə yaratmaq üçün. İlk mərhələdə FPGA-lar K556RT4-RT5 və başqaları kimi əridilmiş keçidləri olan mikrosxemlər idi.Onlarda bəzi funksiyalar yaratmaq mümkün idi, lakin kiçik dövrəni sayğaclar və triggerlərlə sıxışdırmaq mümkün deyildi.

Sadə məntiqə əsaslanan cihazların layihələndirilməsi mərhələləri zəif avtomatlaşdırılıb və xəta baş verdikdə, əlavə elementlər təqdim etmək üçün lövhəni dəyişdirmək lazımdır. Altera-dan MAX+plus II BASELINE proqram paketini və FPGA-nı öyrənmək təkcə dövrəni sadələşdirməyəcək, həm də bir çox tələlərin qarşısını alacaq. Bu proqram 155 seriyası və onun analoqları üzrə sxemlərin layihələndirilməsi zamanı da istifadə edilə bilər. PLD-də proqramlaşdırma (əlaqələrin yaradılması) üçün (həmçinin

CPLD) EPROM, EEPROM və FLASH texnologiyalarından istifadə olunur. Radio həvəskarları üçün FLASH mikrosxemləri böyük maraq doğurur, çünki onlar kristalı təxminən 100 dəfə yenidən proqramlaşdırmağa imkan verir və təchizatı gərginliyini aradan qaldırdıqdan sonra proqramlaşdırma nəticəsində qurulmuş quruluşu saxlamağa imkan verir.

Bu məqalədə Altera - EPM3064A-dan ən ucuz olan FPGA əsasında sxemlərin addım-addım inkişafı məsələləri müzakirə olunacaq. O, 44 pinli PLCC paketində yerləşdirilib. Mikrosxem qarışıq enerji təchizatı və çoxvoltlu giriş/çıxış (I/O) interfeysinə malikdir. Məntiqi nüvənin təchizatı gərginliyi 3,3 volt, giriş/çıxış elementləri – 5; 3.3; və 2,5 volt. Modifikasiyadan asılı olaraq maksimum takt tezliyi 227,3 MHz. Konfiqurasiya bitlərini təyin etməklə kənarların yamacını idarə etmək mümkündür ( SlewQiymətləndirmə) giriş/çıxış siqnalları. Biti təyin etməklə açıq drenaj çıxışlarının həyata keçirilməsi də mövcuddur ( AçıqDrenaj). Enerji istehlakını 50% -dən çox azaldan enerjiyə qənaət rejimi qurmaq mümkündür. Məxfilik bitini təyin etmək də mümkündür ( təhlükəsizlikaz) bu, çipin daxili konfiqurasiyasını kopyalamağa imkan verməyəcək. Bu mikrosxemdə 4 blok məntiqi matris və 64 makrosel var. Proqramlaşdırıla bilən makrosel tetikleyicileri fərdi saat girişlərinə malikdir ( saat), sinxronizasiya icazələri ( saataktivləşdirin), sıfırla ( aydın) və əvvəlcədən təyinlər ( əvvəlcədən təyin). Hər bir makro hüceyrə proqramlaşdırıla bilən matris hesab edilə bilər və sabit matris YA. Matris çıxışında YA Konfiqurasiya edilə bilən idarəetmə sxemi olan registr daxil edilmişdir. Registr idarəetmə sxemi müstəqil olaraq proqramlaşdırıla bilən saat, saatın aktivləşdirilməsi, sıfırlanması və əvvəlcədən təyin edilmiş siqnalları təmin edir. Müəllifin fikrincə, bu mikrosxemə 10-15 155 seriyalı korpus doldurula bilər (satışda 16 məntiq matris bloku və 158 pinli EPM3256 mikrosxem mövcuddur).

EPM3064A arxitekturasına aşağıdakı elementlər daxildir: Məntiq blokları ( Laboratoriyalar). makrosellər ( Makrosellər). Məntiq genişləndiriciləri, paylaşılan ( Paylaşıla bilən) və paralel ( Paralel). Proqramlaşdırıla bilən əlaqə matrisi ( PİA). Giriş/çıxış idarəetmə vahidləri ( mən/Onəzarətbloklar). Çipin dörd xüsusi girişi var ( həsr olunmuşpin) ümumi təyinatlı girişlər və ya yüksək sürətli qlobal nəzarət girişləri kimi istifadə edilə bilən (sinxronizasiya - saat, sıfırlama aydın və iki çıxış icazəsi siqnalı - aktivləşdirin), hər bir makrosel və I/O pin üçün. Blok diaqramı Fig.1-də göstərilmişdir.

ŞƏKİL 1

EPM 3064A-nın əsas arxitekturası belədir məntiqi bloklar, 16 makro hüceyrədən ibarətdir. Məntiq blokları proqramlaşdırıla bilən əlaqə matrisi ( PİA). Hər bir məntiqi bloka aşağıdakı siqnallar verilir: 36 siqnal PİA, məntiq girişləri kimi istifadə olunur. Qlobal nəzarət siqnalları. Yüksək performans təmin edən giriş tamponlarından registrlərə qədər birbaşa sxemlər. Mikrosxemin funksional tərkibi və işləmə prinsipi haqqında daha çox oxuya bilərsiniz.

ŞƏKİL 2

Çipi proqramlaşdırmaq üçün xüsusi bir yükləmə kabeli etmək lazımdır ByteBlasterMV Şəkil 2. O, həm layihənin sınaq mərhələsində, həm də əməliyyat zamanı FPGA konfiqurasiyasını tez və səmərəli şəkildə dəyişməyə imkan verir. Yenidən proqramlaşdırma birbaşa sistemdə edilə bilər. Proqramlaşdırma davam edərkən, sistemlə ziddiyyətdən qaçmaq üçün mikrosxemin pinləri üçüncü vəziyyətə keçirilir. Daxili çəkilmə rezistorlarının müqaviməti 50 kOhm-dir.

Bu yükləmə kabeli MAX 3000A, MAX 7000, MAX 9000 seriyalarının və bir çox başqalarının mikrosxemlərini proqramlaşdırmaq üçün istifadə edilə bilər, daha çox oxuya bilərsiniz. Yükləmə kabelinin diaqramı Şəkil 3-də göstərilmişdir. Konnektor X1 birbaşa kompüterin paralel portuna və ya uzatma kabeli vasitəsilə birləşdirilir və uzunluğu 1,5 metrdən çox olmamalıdır. Proqramçı üçün güc birbaşa layihələndirilən cihazın sxemindən alınır. Proqramçının normal işləməsi üçün sürücünü quraşdırmalısınız ByteBlasterMV hansı aşağıda təsvir olunacaq. Orijinal D 1 çipindən istifadə etmək məqsədəuyğundur, çünki o, 2,5 ilə 5 volt arasında gərginlik diapazonunda işləyə bilər (ekstremal hallarda onu 1564AP5 ilə əvəz etmək olar). Bütün rezistorlar MLT 0.125 tiplidir. Kondansatör C1 keramikadır.

ŞƏKİL 3

Çap dövrə lövhəsi Şəkil 4. tək tərəfli hazırlanmışdır folqa 1,5 mm qalınlığında və 52x43 mm ölçüdə fiberglas.

ŞƏKİL 4

ALTERA-dan MAX+PLUS II sisteminin mühitində layihə yaratmaq üçün İnternetdən yükləmək və Fig5-i quraşdırmaq lazımdır. sərbəst yenidən paylana bilən fayl baseline10_1.exe Saytın linki . Tam funksionallıq üçün proqramı quraşdırdıqdan sonra Altera veb saytına daxil olmalı və lisenziya faylı əldə etməlisiniz. Bu səhifədə linki seçin Tələbələr və universitetlər üçün MAX+PLUS II proqramı. Növbəti səhifədə linki seçin Versiya 10.2, 10.1,və ya 9.23 və düyməni basın davam et. Pəncərənin növbəti səhifəsində sabit diskin qeydiyyat nömrənizi daxil edin. Bunu Windows-da etmək üçün düyməni basın sonra START Proqramlar, Aksesuarlar, əmr satırı, və əmri yığın rejissordaxil edin.

ŞƏKİL 5

İkinci sətir 8 rəqəmli diskin seriya nömrəsini çap edəcək. Bu daxil edilməli olan şeydir. Sonra düyməni basın davam et və növbəti səhifədəki formanı doldurun. Formanı doldurmağı bitirdikdən sonra düyməni basın davam et. Lisenziya faylı sizə poçtla göndəriləcək. Qovluq yaradın c:\mp2tələbə\ və sizə göndərilən faylı oraya yerləşdirin lisenziya.dat. Lisenziya faylını quraşdırmaq üçün işə salın MAX+plusII 10.1 ƏSAS sonra nişanı basın SeçimlərLisenziyaQurmaq görünən pəncərədə düyməni basın Baxın, və lisenziya faylına gedən yolu göstərin. düyməni basın tamam. Bundan sonra bütün tətbiqlər əlçatan olacaq. Bundan sonra proqramçı sürücü faylını quraşdırmalısınız. Bunu etmək üçün düyməni basın BAŞLAMAQ sonra Quraşdırma, idarəetmə paneli, avadanlıqların quraşdırılmasıDaha sonra düyməni Dah, cihaz artıq qoşulub və bir düymə Daha. Növbəti pəncərədə seçin Yeni cihaz əlavə edilirDxiyaban. Düyməni quraşdırın Siyahıdan seçilmiş avadanlığın əl ilə quraşdırılmasıDxiyaban. Siyahıdan seçin Səs, video və oyun cihazlarıDxiyaban. Düyməyə bas Udiskdən quraşdırın, sonra düyməsini basın Baxış-icmal. Yolun təyin edilməsi C: \ maxplus2 \ Sürücülər \ win2000Əgər sizdə WindowsXP quraşdırılıbsa, üzərinə klikləyin TAMAM. Siyahıdan seçin Altera ByteBlaster, düyməsi DahaHazır.

MAX+PLUS II sistemində layihənin rahat daxil edilməsi, tərtibi və sazlanması, həmçinin cihazın birbaşa proqramlaşdırılması üçün alətlər var. MAX+PLUS II sistem proqram təminatı 11 proqramdan və əsas idarəetmə proqramından ibarətdir. Layihənin yaradılmasını dəstəkləyən müxtəlif proqramlar dərhal aktivləşdirilə bilər ki, bu da istifadəçiyə siçan düyməsini sıxmaqla və ya menyu əmrləri ilə onlar arasında keçid etməyə imkan verir. Eyni zamanda, fon proqramlarından biri işləyə bilər, məsələn, kompilyator, simulyator, sinxronizasiya analizatoru və proqramçı.

Cədvəl tətbiqləri təsvir edir.

Cədvəl

Ərizə Funksiya yerinə yetirildi
İyerarxiyaEkran İerarxiyaya baxış— cari iyerarxik fayl strukturunu alt layihələri təmsil edən budaqları olan ağac şəklində göstərir.
QrafikRedaktor Qrafik redaktor— ekranda real displey formatında sxem məntiqi layihəsi hazırlamağa imkan verir.
SimvolRedaktor Xarakter redaktoru— mövcud simvolları redaktə etməyə və yenilərini yaratmağa imkan verir.
MətnRedaktor Mətn redaktoru— AHDL, VHDL, Verilog HDL-də yazılmış məntiqi dizayn mətn faylları yaratmağa və redaktə etməyə imkan verir.
Dalğa formasıRedaktor Siqnal redaktoru— ikili funksiyanı yerinə yetirir: dizayn işlənməsi üçün alət və test vektorlarını daxil etmək və test nəticələrini müşahidə etmək üçün alət.
Döşəmə planıRedaktor Səviyyə-səviyyəplanlaşdırıcı— qrafik vasitələrdən istifadə edərək cihazın kontaktlarına və məntiqi element resurslarına tapşırıqlar verməyə imkan verir.
Kompilyator Kompilyator— qrafik layihələri emal edir.
Simulyator Simulyator— tərtib edilmiş məntiqi dövrənin məntiqi əməliyyatlarını və daxili sinxronizasiyasını sınamağa imkan verir.
ZamanlamaAnalizator Zaman analizatoru— tərtib edilmiş məntiq sxemi kompilyator tərəfindən sintez edildikdən və optimallaşdırıldıqdan sonra işini təhlil edir.
Proqramçı Proqramçı— ALTERA FPGA-ları proqramlaşdırmağa, konfiqurasiya etməyə, yoxlamağa və sınaqdan keçirməyə imkan verir.
MesajProsessor Mesaj generatoru— ekranda səhv, xəbərdarlıq və məlumat mesajlarını göstərir.

Layihəmizi yerləşdirəcəyimiz işçi kataloqu yaradaq C:\ALTERA_WORK\schetchic. Sxem AHDL, VHDL, Verilog HDL (proqramlaşdırmaya üstünlük verənlər üçün) və ya qrafik olaraq (radio həvəskarları üçün daha uyğun) təsvir edilə bilər. Layihə faylı MAX+PLUS II sisteminin qrafik və ya siqnal redaktorlarından istifadə etməklə yaradılmış qrafik, mətn və ya siqnal faylıdır. Bu fayl MAX+PLUS II layihəsi üçün məntiqi ehtiva edir və kompilyator tərəfindən tərtib edilir. Kompilyator avtomatik olaraq aşağıdakı layihə fayllarını emal edə bilər: qrafik layihə faylları ( .gdf); AHDL dilində layihə mətn faylları ( .tdf); layihə siqnal faylları ( .wdf); VHDL dilində layihə faylları ( .vhd); Verilog dilində layihə faylları ( .v); OrCAD sxematik faylları ( .sch); EDIF giriş faylları ( edf); format faylları XilinxNetlist (.xnf); layihə faylları Altera (.adf); rəqəmsal maşın faylları ( .smf). Köməkçi fayllar MAX+PLUS II layihəsi ilə əlaqəli olan, lakin onun iyerarxik ağacının bir hissəsi olmayan fayllardır. Bu faylların əksəriyyətində layihə məntiqi yoxdur. Onlardan bəziləri MAX+PLUS II sistem tətbiqi, digərləri isə istifadəçi tərəfindən avtomatik yaradılır. Dəstək fayllarına misal olaraq təyinat və konfiqurasiya faylları ( .acf), simvol faylları ( .sym), hesabat faylları ( .rpt) və vektor fayllarını sınaqdan keçirin ( .vec).

Beləliklə, əsas menyuda klikləyin Fayl sonra Yeni və elementi seçin Qrafik Redaktor fayltamamşək 6. Qrafik redaktor pəncərəsi açılacaq. Sonra, layihəmizi ad altında saxlayaq sxematik. gdf kataloqumuza C:\ALTERA_WORK\ Bunu etmək üçün vurun Fayl sonra Yadda saxla kimitamam. Fayl adını layihə faylına bağlayaq, bunun üçün əsas menyuda klikləyin Fayl sonra Layihə və sonra Set Layihə üçün Cari Fayl və ya əvəzinə siz düymələri eyni vaxtda basa bilərsiniz Ctrl+ Shift+ J. Layihədə istifadə olunacaq mikrosxemin növünü təyin edək. Bunu etmək üçün əsas menyudakı düyməni basın Təyin et sonra Qurğu.

Görünən pəncərədə, sətirdə Qurğu Ailə seriya seçin MAX3000 A. Və pəncərədə Cihazlar EPM3064ALC44-4 və tamam. Layihənizin nə qədər yer tutacağını bilmirsinizsə, o zaman Cihazlar daha yaxşı quraşdırmaq AVTO, kompilyator özü mikrosxem növünü seçəcək.

ŞƏKİL 6

İş kitabxanası müxtəlif növ elementləri ehtiva edir:

1). kimi məntiqi primitivlər (c:\maxplus2\max2lib\prim\ qovluğunda yerləşir) elementlər , və ya, nə də müxtəlif sayda daxilolmalarla və s.

2). 74-cü seriyanın diskret məntiqinin analoqları 155-ci seriyanın analoqlarıdır (c:\maxplus2\max2lib\mf\ qovluğunda yerləşir).

3). İstənilən mürəkkəblikdə rəqəmsal cihazların layihələrini yaratmağa imkan verən parametrləşdirilmiş məntiqi funksiyalar (c:\maxplus2\max2lib\mega_lpm\ qovluğunda yerləşir).

Gəlin öz komponentlər kitabxanamızı yerləşdirəcəyimiz bir kataloq yaradaq C:\ALTERA_WORK\Altera_Lib və onu layihəyə birləşdirin. Bunu etmək üçün əsas menyudakı düyməni basın Seçimlər sonra İstifadəçiKitabxanalar və pəncərədən kataloqad yola girək c:\altera_work\altera_lib sonra TAMAM. Ekranda simvol yerləşdirməyin iki yolu var, bunun üçün elementi yerləşdirmək istədiyimiz yerə sağ klikləyin və görünən pəncərədə menyunu seçin. Simbo daxil edinl pəncərədə Simvolad görünən pəncərədə elementin adını yazın və üzərinə klikləyin tamam. Və ya pəncərədə tələb olunan kitabxananı seçin SimvolKitabxanalar dialoq qutusu Daxil edinSimvol və onu açmaq üçün sol siçan düyməsini iki dəfə klikləyin. Sonra eyni şəkildə pəncərədə tələb olunan elementi seçin SimvolFayl. Layihə kiçikdirsə və kitabxanadakı bütün elementlərdən razısınızsa, bu şəkildə bütün lazımi elementləri quraşdırırıq və keçiricilərdən istifadə edərək onların sancaqlarını birləşdiririk. Bunun üçün solda olan qrafik redaktorda ikona var, üzərinə kliklədikdə düz xətt əlaqəsi, düz bucaqda yerləşdirilmiş xətlər, sektorlar və dairələr əldə edə bilərsiniz.Əgər xətt qırmızı rənglə vurğulanıbsa, onda siz edə bilərsiniz. onun üzərinə əlaqə ünvanını qoyun və əlaqəni özü etmə. Giriş və çıxış sxemləri elementlər kitabxanasından seçilərək tərtib edilir girişçıxış( lazım gələrsə, onlara ad veririk). Məntiqi bir və sıfır qurmaq üçün yazın vcc və ya gnd. Öz simvolunuza ehtiyacınız varsa, o zaman yeni bir qrafik redaktor pəncərəsini açmalı və Şəkil 7 komponentinin diaqramını çəkməli, ona ad verməli və kitabxanada saxlamalısınız. c:\altera_work\altera_lib. Layihə faylına keçid edin və klikləməklə tərtib edin ctrl+L. Heç bir səhv yoxdursa, simulyatordan istifadə edərək dövrənin işini yoxlaya bilərsiniz.

ŞƏKİL 7

Bunu etmək üçün açırıq Dalğa forması Redaktor, faylı eyni ad altında saxlayın. Altındakı ilk sətirdə Adı: sağ klikləyin və menyunu seçin Daxil edinqovşaqlar-danSNF görünən pəncərədə düyməni basın Siyahı pəncərədə MövcuddurDüyünlər &Qruplar dövrəmizin bütün giriş və çıxışları görünəcək. Lazım olanları seçirik (bu halda INOUT) Və Düyməyə bas => seçilmiş simvollar kopyalanacaq sağ pəncərədə. basın tamam. Redaktorda siz oscilloqramı görə bilərsiniz Fig.8. Adı altında Dəyər Bacarmaq giriş siqnalının ilkin vəziyyətini təyin edin, bunun üçün sol siçan düyməsini basın soldakı sətirdə redaktorda məntiqi 1 və 0 nişanları göstərilir, işarəyə klikləməklə biz giriş statusunu təyin edirik. Siz zamanla dəyişən siqnal daxil edə bilərsiniz və ya Z dövlət. Menyuya keçməklə oscilloqramın bitmə vaxtı daxil edilə bilər Fayl sonra SonVaxt. Vaxt möhürləri menyu vasitəsilə təyin olunur Seçimlər sonra Qriq Ölçü.

Zamanla oscilloqramın vəziyyətinə baxmaq üçün işə salın Simulyator düymələri basaraq Ctrl+Shift+L. Oscilloqramı Şəkil 9-da görmək olar. Yaranan komponent modeli bizi qane edərsə, simvolun şəklini çəkəcəyik. Bunu etmək üçün əsas menyuda simvol redaktorunu açın Fayl sonra Yeni və elementi seçin SimvolRedaktor fayltamamşək 6. Sol siçan düyməsini sıxmaqla əvvəlcə ikonada solda olan rəsm alətini seçərək simvolun konturunu çəkirik.

Sol siçan düyməsini iki dəfə vurun menyunu açın Daxil edinPinstub pəncərədə solda göstəririkçıxış növü giriş və ya çıxış I/ ONöv. Pəncərədə TamPinstub adÇıxış adını göstərin (bizim vəziyyətimizdə IN giriş OUTçıxış). Bütün sətirlərin və etiketlərin ölçüləri köçürülən elementin üzərinə sol klikləməklə dəyişdirilə və köçürülə bilər. Fayl bizim kitabxana qovluğunda qrafik redaktor faylı ilə eyni ad altında saxlanmalıdır.

Bütün köməkçi pəncərələri bağladıqdan sonra layihə faylımıza simvol daxil edə bilərik.

Dinamik göstəricili üçrəqəmli sayğacın praktiki sxemini nəzərdən keçirək Şəkil 10. Enerji təchizatı LM 317 tipli D 2 çipində yığılır və D 1 üçün lazım olan gərginliyi təmin edir.

ŞƏKİL 10

Göstərici boşalmalarının çıxış cərəyanı bütün göstəricilər işə salındıqda təxminən 80 mA ola bilər, buna görə də göstərici boşalmaları VT 1-VT 3 tranzistorları tərəfindən dəyişdirilir. Eksperimental model üçün xarici dövrələri olan daxili generator dövrəsi seçilmişdir. rezistorlar R 16, R 18, C 2, lakin iş sxemində generatoru xarici etmək daha yaxşıdır. Müəllifin fikrincə, FPGA-lar kapasitiv yüklərlə çox yaxşı işləmir. Proqramçıya qoşulmaq üçün X1 konnektoru tələb olunur.

Layihənin daxili strukturunu nəzərdən keçirək Fig.11. Bütün komponentlər yuxarıda göstərilən metoddan istifadə etməklə yenidən yaradılmış və öz komponent kitabxanasında saxlanmışdır.

ŞƏKİL 11

Diaqramdakı komponentlər 0_3r_kommutator– bu, göstərici rəqəmlərini növbə ilə dəyişdirən və həmçinin nəzarət səviyyələrini multipleksorlara verən üç rəqəmli açardır 0_3 _ və ya. Multipleksatorlar göstərilən rəqəmdən asılı olaraq onluq sayğacları birləşdirir 0_2 b_10 d_ sayğac Kimə ikiliyeddi seqmentli dekoder 0_ bcd _7 seg . Komponentlərin adları ön sözdən ibarətdir 0 _ biz öz kitabxanamızın bir elementini ifadə edirik. MAX+PLUS II layihəsindəki diaqramda komponentdə siçanın sol düyməsini iki dəfə sıxmaq qrafik redaktoru açacaq və biz elementin diaqramını görəcəyik. Şəkil 12 sxemi standart kitabxana primitivlərindən ibarət olan açarı göstərir. ad YOXçevirici deməkdir, DFFD tetikleyici, 2 - element iki girişi ilə. Şəkil 13 daxili diaqramı göstərir ikili ondalık sayğac. Şəkil 14-də multipleksor göstərilir. Və düyü 15 ikiliyeddi seqmentli dekoder. Aşağıda standart kitabxananın bəzi meqafunksiyalarının siyahısı verilmişdir.

ŞƏKİL 12

ŞƏKİL 13

ŞƏKİL 14

Məntiq qapıları (Gates):

lpm_və – VƏ elementi

lpm_inv – Element DEYİL (inverter)

lpm_bustri – üç ştatlı avtobus

lpm_mux - multipleksor

lpm_clshift - məntiqi yerdəyişmə

lpm_or – OR elementi

lpm_constant - sabit

lpm_xor – Eksklüziv OR elementi

lpm_decode - dekoder

mux – multipleksor

busmux - multipleksor

ŞƏKİL 15

Arifmetik komponentlər:

bölmək* — bölən

lpm_compare - müqayisəedici

lpm_abs – mütləq dəyər

lpm_counter - sayğac

lpm_add_sub – toplayıcı/çıxarıcı

lpm_divide — bölən

lpm_mult — çarpan

Yaddaş elementləri:

altdpram* - iki portlu RAM

lpm_latch – mandalı registri

lpm_shiftreg – sürüşdürmə registri

dcfifo* — İki saatlı FIFO

lpm_ram_dp - iki portlu RAM

scfifo* — Tək saatlı FIFO

lpm_ram_dq – ayrı giriş və çıxış portları olan RAM

csdpram - Cycle-Shared Dual-Port

lpm_ram_io - ümumi giriş və çıxış portu olan RAM

lpm_ff — Tətik

lpm_rom - ROM

lpm_fifo — Tək saatlı FIFO

lpm_dff* — D – flip-flop və sürüşmə registri

lpm_fifo_dc — İki saatlı FIFO

lpm_tff* – T tetikleyicisi

Digər xüsusiyyətlər:

saat kilidi - PLL (Faza Kilidli Döngü)

pll - impuls kənar detektoru

ntsc - NTSC video siqnal generatoru

Bütün komponentlər yaradıldıqdan, layihənin ümumi diaqramı çəkildikdən və bütün əlaqələr qurulduqdan sonra layihəni saxlamaq və kompilyasiya etmək lazımdır. Heç bir səhv yoxdursa, etməlisiniz getmək Dalğa forması Redaktor və necə nəzərə alındı Yuxarıda, layihənin düzgün işlədiyinə əmin olun Fig.16.

ŞƏKİL 16

Kompilyator tərəfindən simli dövrə avtomatik olaraq giriş və çıxış pinlərini təyin edir; bunu burada görmək olar Döşəmə planı redaktoru düyməsini basaraq. Eyni redaktorda ayaqların məqsədini istədiyiniz kimi dəyişə bilərsiniz. Bunu etmək üçün düyməni basın və siçan ilə pəncərədə göstərilən sancaqların adlarını sürükləyin. Təyin edilmədiDüyünlər və Sancaqlar, müvafiq FPGA pin nömrələrinə və sonra layihəni yenidən tərtib edin. Bundan sonra layihənin daxili strukturunda edilən hər hansı dəyişiklik, yəni. sancaqların əlavə edilməsi və ya çıxarılması ilə əlaqəli olmayanlar pin təyinatını dəyişməyəcək.

Bundan sonra, X1 konnektoru vasitəsilə Fig10 dövrəsini proqramçıya, proqramçıyı isə kompüterə bağlayırıq (biz bütün bu prosedurları kompüteri söndürməklə edirik) və dövrəyə enerji veririk. Pəncərənin açılması Proqramçı və düyməni basın Proqram. Proqram yükləndikdən sonra dövrə iş rejiminə keçəcək. Bu üsul, çap dövrə lövhəsini dəyişdirmədən dəyişən vəzifələrdən asılı olaraq cihazın daxili dövrəsini dəyişdirməyə imkan verir.

MAX+PLUS II proqram mühitinin öyrənilməsinin görünən mürəkkəbliyinə baxmayaraq, siz layihələri daha sürətli edə biləcəksiniz, çünki kompüterdə layihələndirmə və sazlama, çörək lövhəsində dövrə yaratmaqdan və osiloskopdan istifadə edərək əlavə araşdırmalardan daha çox məlumat verir. Daha əvvəl qeyd edildiyi kimi, əldə edilən nəticələr 155-555 seriyasına əsaslanan strukturların istehsalında uğurla istifadə edilə bilər.